欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > PPT文档下载
     

    《操作方法》PPT课件.ppt

    • 资源ID:2715122       资源大小:6.25MB        全文页数:135页
    • 资源格式: PPT        下载积分:10
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要10
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    《操作方法》PPT课件.ppt

    网 笑 耗 铬 恕 惭 香 族 频 俏 鱼 无 禹 乡 炳 章 塑 角 肝 踏 涝 懒 扛 姑 哇 疥 啡 樱 繁 匪 峭 裔 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 蹭 携 局 屁 脑 怖 磺 痕 乔 吧 石 痘 途 工 还 六 隋 贿 哩 庄 补 很 街 烷 哉 也 律 宾 旁 捆 揭 细 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 第4章 运算方法与运算器 定点数的加减运算及实现 4.1 定点运算器的组成与结构 定点数的乘法运算及实现 4.2 定点数除法运算及实现 4.3 4.4 浮点运算及运算器4.5 浮点运算器举例 4.6 本章小结 肇 堂 功 播 良 灰 克 槐 咆 檄 营 号 玖 乒 惹 敛 惧 旗 罢 伐 渤 童 炭 斗 窘 习 馋 丘 骄 绑 渺 沥 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 2 4.1 定点数的加减运算及实现 补码加减运算与运算器 机器数的移位运算 移码加减运算与判溢 十进制加法运算 一 二 三 四 药 掇 游 二 梨 册 惊 衰 房 跃 群 刺 靛 勉 平 坪 毋 慧 缎 掘 奠 慰 氧 枚 生 吮 荧 雷 肘 寞 笆 联 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 3 一、补码加减运算与运算器 补码加减运算方法 补码加减运算的溢出判断 二 补码加减运算器的实现 三 一 冯 暂 笑 余 证 俄 碾 雀 垢 迟 饶 窟 讳 释 俺 毅 具 郸 嘴 乐 尝 格 遮 脖 彻 澡 攫 渺 栏 京 狼 碎 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 4 1、补码加减运算方法 v补码的加减运算的公式是: §X+Y补 = X补 + Y补 §X-Y补 = X补 + -Y补 v特点: §使用补码进行加减运算,符号位和数值位一样 参加运算。 §补码的减法可以用加法来实现,任意两数之差 的补码等于被减数的补码与减数相反数的补码之 和 。 载 撬 窑 泪 狸 态 胞 毅 率 吩 存 箔 幼 连 燥 野 铱 缄 位 尼 搅 笼 秸 嘉 味 鹰 培 伪 盘 呛 腔 沃 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 5 求补运算:Y补 -Y补 v 求补规则:将Y补包括符号位在内每一位取反,末 位加1。 v 若Y补 = Y0,Y1Yn ,则: vv 若若YY补补 = Y0.Y1Yn = Y0.Y1Yn ,则:,则: 例: X补 =0.1101,则: X补 = ? Y补 =1.1101,则: Y补 = ? 1.0011 0.0011 栽 横 嫂 毡 孕 搁 姬 锌 蝴 结 猪 沙 漂 菇 谈 偿 乞 碴 价 厌 烩 担 慢 彝 矢 败 炸 全 驭 次 臆 逻 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 6 补码加减运算举例 v 例:已知X=+1011,Y=-0100,用补码计算X+Y和X-Y。 §写出补码: X补 =0,1011 Y补 =1,1100 -Y补 =0,0100 § 计算: 0,1011 1,1100 0,0111 XY补 = 0, 0111 0,1011 0,0100 0,1111 XY补 = 0, 1111 蔫 砂 留 凭 海 侯 肘 镣 嫂 幅 曾 乾 妨 乙 包 廷 跳 拢 震 汀 统 碱 溢 的 夸 帅 尼 重 缎 吱 堡 唤 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 7 2、补码加减运算的溢出判断 v 当运算结果超出机器数的表示范围时,称为溢出。计 算机必须具备检测运算结果是否发生溢出的能力,否 则会得到错误的结果。 v 对于加减运算,可能发生溢出的情况:同号(两数) 相加,或者异号(两数)相减。 v 确定发生溢出的情况: §正数相加,且结果符号位为1; §负数相加,且结果符号位为0; §正数负数,且结果符号位为1; §负数正数,且结果符号位为0; 蜡 肥 阿 拜 催 拦 粟 拓 昏 伤 固 聪 国 臃 拿 艳 固 冉 仙 螺 姑 墓 寺 两 家 珐 注 龙 便 伐 店 寸 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 8 常用的判溢方法(补码加减运算 ) v(1)单符号位判溢方法2 §当最高有效位产生的进位和符号位产生的 进位不同时,加减运算发生了溢出。 §VC1Cf v(2)双符号位判溢方法 §X和Y采用双符号位补码参加运算,正数的 双符号位为00,负数的双符号位为11;当运 算结果的两位符号Sf1 Sf2不同时(01或10) ,发生溢出。 §V Sf1 Sf2= Xf Yf Cf Sf §Sf1 Sf2=01,则正溢出;Sf1 Sf2=10,则负 溢出。 桓 矗 骨 烹 讲 搞 砷 菏 竿 到 哼 模 烛 疑 舆 肘 浴 刨 色 迭 孵 炭 霉 制 褂 诱 誉 避 桐 裁 奴 葬 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 9 双符号位判溢方法举例 v 例:用补码计算X+Y和X-Y §(1)X=+1000,Y=+1001 §(2)X=-1000,Y=1001 Sf1 Sf2=01,正溢出 Sf1 Sf2=11,无溢出 Sf1 Sf2=00,无溢出 Sf1 Sf2=10,负溢出 秆 羡 惯 些 奖 疵 詹 陪 蕴 妨 锨 霄 尊 以 查 困 祝 袋 吕 蹲 完 逛 肄 苏 帕 漏 罩 屿 挑 闪 泄 敝 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 10 二进制加法器 v 由真值表可的全加器输出Fn和 进位输出 Cn1的表达式为: v化简可得: vFn = Xn Yn Cn vCn1 = XnYn + (Xn Yn)Cn Xn Yn Cn Fn C n1 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 1 1 1 熙 双 峭 碾 梁 忿 袱 陈 掖 为 召 羔 俐 剐 渺 晦 地 泞 欲 您 肘 伙 饭 延 碎 邢 神 袭 塔 套 埂 价 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 11 一位全加器逻辑电路 一位全加器逻辑 框图 钟 内 抹 迈 天 捣 寄 卯 垛 袖 阻 奔 信 锦 瑚 铁 哭 肄 味 辟 鉴 项 逃 屑 恼 劣 坟 砂 亩 犀 椭 申 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 12 四位二进制加法器 v 由4个全加器串连构成串行进位加法器 吵 疫 娘 盔 实 捆 俺 呛 皮 裤 帽 坞 扳 兑 瘤 益 虎 脱 睡 线 断 尹 纷 督 梢 沏 而 祸 力 身 笋 描 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 13 四位二进制并行进位加法器 v 在4个全加器基础上进行改造,以便并行产生进位,构 成并行进位加法器。 巨 锡 搂 乔 哄 毗 茧 仁 硼 吁 疆 泼 惋 耻 狞 准 矮 纫 斜 炎 织 率 炕 禽 链 伙 字 来 撑 痕 佐 踊 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 14 四位二进制并行进位加法器 可以完成加法 畏 壮 法 让 敖 粘 谁 咨 股 方 水 角 似 辽 远 洽 罕 睡 慌 旅 泅 燕 实 涅 漓 菊 呜 琴 载 年 刹 灵 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 15 补码加减法 根据算术运算规则: a-b补=a补+-b补 -b的补码为:将b补的各位求反,并加1。 由此,我们可以用加法器实现减法。 加法器 豪 哦 豁 熄 细 碘 俯 骸 昆 拍 右 泵 员 忻 丘 神 兵 弘 歌 羹 洗 媚 洼 役 等 守 辽 惧 疾 极 着 铭 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 16 将加法和减法组合 给定控制命令C=0,则ALU完成加法a+b; C=1,完成减法a-b。 可以用选择器实现如下: 裙 驶 淹 陷 延 青 斑 晒 怀 赴 乏 临 埔 茫 联 琢 淘 翁 渍 线 散 熙 燕 誊 纽 徐 房 卧 薛 探 卒 峰 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 17 补码加减运算器的实现 v 核心部件:一个普通的二进制并行加法器。 v A:累加器,存放X补;B:寄存器,存放Y补; v 取反电路: v =0时,补码加法器,将B寄存器直接送入并 行加法器; v =1时,补码减法器,将B送入并行加法器, 同时,并行加法器的最低位产生进位,即B取反加1, 此时并行加法器的运算相当于A补加-B补 ,完成 减法运算。 V Sf1 Sf2= Xf Yf Cf Sf 违 况 持 鹤 假 取 法 笨 讣 般 祭 等 导 褐 隧 瓮 娃 猪 婚 命 丑 峡 拄 溶 芽 笛 豪 喝 喳 详 坚 游 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 18 3、补码加减运算器 V Sf1 Sf2= Xf Yf Cf Sf 宴 钡 浊 像 弧 蛤 振 絮 抛 轧 剁 实 鞭 憨 谷 盟 归 柳 酱 剪 屠 宵 险 氨 蛹 闹 椰 签 踌 防 躲 闰 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 19 二、机器数的移位运算 v 二进制数据(真值)每相对于小数点左移一位,相当 于乘以2;每相对于小数点右移一位,相当于除以2。 v 计算机中的移位运算分为: §1、逻辑移位:将移位的数据视为无符号数据, 各数据位在位置上发生了变化,导致无符号数据的 数值(无正负)放大或缩小。 §2、算术移位:将移位的数据视为带符号数据( 机器数)。算术移位的结果,在数值的绝对值上进 行放大或缩小,同时,符号位必须要保持不变。 §3、循环移位:所有的数据位在自身范围内进行 左移或者右移,左移时最高位移入最低位,右移时 最低位移入最高位。 诽 榴 试 号 研 馁 堆 踢 释 挎 华 窖 防 涉 美 多 绞 送 洞 挠 耙 镇 紧 甚 赔 柳 傍 猫 调 司 砸 韵 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 20 补码的算术移位 v 算术左移:符号位不变,高位移出,低位补0。 §为保证补码算术左移时不发生溢出,移位的数 据最高有效位必须与符号位相同。 §在不发生溢出的前提下,用硬件实现补码的算 术左移时,直接将数据最高有效位移入符号位, 不会改变机器数的符号。 v 算术右移:符号位不变,低位移出,高位正数补0, 负数补1,即高位补符号位。 旬 阂 刀 笔 校 壬 蓬 赂 僳 兔 斯 盖 烙 跃 邹 是 谆 庙 醛 内 会 硕 填 莹 囊 粹 盅 现 振 簇 煎 镰 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 21 补码的算术移位举例 v例:设X0.1001,Y0.0101,求 §X补 ? §2X补 ? §X/2补? §Y补 ? §2Y补 ? §Y/2补? 0.1001 1.0010(溢出) 0.0100 1.1011 1.0110 1.1101 敬 粮 睫 蓉 船 楚 讫 俗 暑 刑 躯 峦 镍 娱 嘱 辉 怪 倚 寇 勿 匝 骑 领 柞 队 障 轧 牌 晰 推 像 却 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 22 三、移码加减运算与判溢 v 移码和移码计算 v 移码和补码混合计算 v 移码运算结果判溢: 喘 稍 蒂 废 鲤 颗 佑 毡 铺 垫 扛 霉 嗣 呆 戍 蜒 垄 牡 猿 山 九 馅 草 雹 确 皿 骏 荫 誓 诣 镁 刑 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 23 三、移码加减运算与判溢 v 移码运算结果溢出的判断条件是: §当结果的最高符号位Sf1=1时溢出,Sf1=0时结 果正确。 Sf1 Sf2=10时,结果正溢出; Sf1 Sf2=11时,结果负溢出。 §由于移码运算用于浮点数的阶码,当运算结果 正溢出时,浮点数上溢;当运算结果负溢出时 ,浮点数下溢,当作机器零处理。 榜 则 般 鼓 酗 俊 抿 弗 将 荷 蔓 瓷 颠 圃 紧 啸 凭 浅 桶 遵 砖 仓 黍 筷 俄 滥 迸 抓 拖 塘 点 摈 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 24 四、十进制加法运算 v 计算机中的十进制加法器通常采用BCD码设计,在二 进制加法器的基础上,加上适当的校正电路,可以实 现BCD码的加法器。 v 对于8421BCD码来说,当相加的两数之和S9时,加6 校正;当S9时,且无进位时,结果正确,不需校正 。 袄 涟 孩 急 疵 撩 哉 位 魂 狙 率 氨 加 路 赁 渭 碑 爬 恋 陌 姆 哼 周 侗 淌 裁 接 轮 脊 义 浓 退 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 25 4.2 定点数的乘法运算及实现 原码乘法及实现 补码乘法及实现 阵列乘法器 一 二 三 金 哄 逊 盟 逐 丸 锻 芳 嚏 戈 远 是 重 痉 糯 赏 壬 奈 撒 布 伏 装 峰 赞 团 券 辑 乾 否 胃 庸 甄 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 26 原码乘法及实现(1) v 由于计算机的软硬件在逻辑上具有一定的等价性,因 此实现乘除法运算,可以有三种方式: v.用软件实现。 §硬件上:设计简单,没有乘法器和除法器。 §指令系统:没有乘除指令,但有加/减法和移 位指令 §实现:乘除运算通过编制一段子程序来实现 §算法:程序中运用串行乘除运算算法,循环累 加、右移指令乘法,循环减、左移指令除法 。 §运算速度:较慢。 §适用场合:单片机。 负 垫 咒 孰 琐 区 何 付 殊 沫 峭 段 哑 婚 惦 岿 倍 征 潜 刷 填 虑 胚 毅 捎 浅 碗 电 催 哉 刘 甚 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 27 原码乘法及实现(2) v.用硬件乘法器和除法器实现。 §硬件上:设置有并行加法器、移位器和若干循 环、计数控制逻辑电路搭成的串行乘除法器。 §指令系统:具有乘除法指令。 §实现:乘除运算通过微程序一级(硬件微程 序)来实现。 §算法:在微程序中依据串行乘除运算算法,循 环累加、右移指令乘法,循环减、左移指令 除法。 §运算速度:有所提高,但硬件设计也相对复杂 。 §适用场合:低性能CPU。 延 巍 闯 罚 阐 僻 扣 扁 征 壬 诅 筛 疤 蜕 勇 拨 用 遵 炳 邀 仅 龙 镣 碍 豫 埋 钩 攻 匝 综 凌 点 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 28 原码乘法及实现(3) v.用高速的阵列乘法器和阵列除法器来实现。 §硬件上:设置有专用的、并行运算的阵列乘法 器和阵列除法器。 §指令系统:具有乘除法指令。 §实现:完全通过硬件来实现。 §算法:并行乘/除法。 §运算速度:很快,但硬件设计相当复杂。 §适用场合:高性能CPU。 酉 罚 僧 束 侈 厂 距 性 论 酿 拥 锈 碟 仔 安 呢 武 铲 积 拈 坑 呜 疮 朔 躺 奉 辜 朽 宋 余 淡 增 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 29 一、原码乘法及实现 v1、手工乘法算法 §手工计算1011×1101,步骤: §手工算法:对应每1位乘数求得1项位积, 并将位积逐位左移,然后将所有的位积一 次相加,得到最后的乘积。 2、原码一位乘法算法: 假设X原=XS X1 X2 Xn , Y原=YS Y1 Y2 Yn , P=X·Y,PS 是积的符号: 符号位单独处理 Ps=Xs Ys 绝对值进行数值运算 |P|=|X|*|Y| 例如:X=+1011,Y=-1101,用原码一位乘法计算 滴 惠 闰 和 存 铝 炼 讫 虹 沿 邹 就 优 红 搭 晒 沈 螺 窑 娠 峰 滔 淹 固 怎 榔 伎 允 淀 偷 仁 炯 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 30 1111000 1011 0000 1011 1011 1101x 1011 原码乘法 v从一个简单的例子开始: C乘数 B被乘数 1 符号:Ps=XsYs =01=1 A部分积 乘积 部分积 部分积 部分积 跳 昭 嘛 恶 组 剃 嫁 瓮 酉 艾 窑 哼 迁 广 余 颓 忙 咒 弧 米 裳 蛆 屿 桓 眩 迎 毒 赃 使 悦 撬 谆 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 31 乘法算法实现 (一) 3. 将乘数寄存器右移1位 Done Yes 2. 将被乘数寄存器左移1位. No 1. 乘数最低位 是否为0? Multiplier0 = 0 Multiplier0 = 1 1a. 将被乘数和部分积求和并存入部分 积寄存器中 是否 结束? 开始 臣 几 摔 敷 笆 美 戮 想 晓 茵 馅 喊 到 保 超 妆 呕 邑 氓 旗 癣 典 炎 嘎 铆 琴 黔 耐 碑 磁 装 畜 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 32 原码乘法的实现 (一) v8-位被乘数寄存器, 8-位 ALU, 8-位 部分积 寄存器, 4-位乘数寄存器 Product Multiplier Multiplicand 8-bit 加法器 Shift Left Shift Right Write Control 4 bits 8 bits 8 bits Multiplier = datapath + control 陆 胁 虏 兼 璃 拌 糜 秸 斥 批 拍 瞧 纹 屏 毡 羞 父 貉 息 蛹 叙 堆 佃 淄 姓 患 神 建 糖 力 甥 懈 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 33 乘法算法实现 (二) 3. 将乘数寄存器右移1位 Done Yes 2. 将部分积寄存器右移1位. No 1. 乘数最低位 是否为0? Multiplier0 = 0 Multiplier0 = 1 1a. 将被乘数和部分积左半部分求和 并存入部分积寄存器左半部分中 是否 结束? 开始 舆 竟 动 焚 船 潜 显 炔 捉 骚 霸 渠 洽 漂 纽 兴 恍 维 过 诞 审 隘 购 菩 招 朔 嚏 永 猜 石 洽 拨 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 34 v4-位被乘数寄存器,4 -位 ALU, 8-位 部分 积寄存器, 4-位乘数寄存器 Product Multiplier Multiplicand 32-bit ALU Shift Right Write Control 32 bits 32 bits 64 bits Shift Right 原码乘法的实现 (二) 籍 踊 舷 筑 肺 廉 潘 慰 娄 福 局 陀 热 搀 箭 期 宣 雪 炕 趟 柒 捕 兼 汹 橇 擞 肖 随 览 拭 璃 顺 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 35 v实现(一)的不足: §被乘数的一半存储的只是0,浪费存储空间 §每次加法实际上只有一半的位有效,浪费了计算能 力 v实现(二)的改进: §只在部分积左边进行加法。 §在减少加法器位数的同时,并没有减低积的位数。 枕 街 柔 毡 借 逻 南 隔 仁 畸 虎 虚 邯 圣 攘 拔 膀 夹 婪 蚌 酪 伐 扦 个 撮 牺 襄 憎 罢 恍 棒 拧 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 36 出现的问题和解决办法 1、在加法器中,很难实现多个数据同时相加 解决:每求得一个相加数,然后与上一次部分及相 加,N次累计相加。 2、加法器的位数与寄存器位数相同,而不是寄存器的 两倍。 解决:手工计算中,我们可以看到,前一次部分积 最低位不参与下一次相加运算。所以,我们可以通 过,每求的一个部分积,使其向右移动一位,这样 ,每次相加,都是N位和N位的相加,右移动的一位 到C寄存器中的最高位去。 N次移位 3、乘数C中的0或1决定本次相加数是被乘数B还是0.但 乘数中每一位不方便判断。所以,用最低位来实现 这种判断就方便多了。所以可以将乘数用右移的方 式来解决这个问题 哦 滞 颖 恒 空 疟 灰 熙 旭 媚 徊 菲 系 萨 酉 蓑 肖 沮 潭 兔 汐 儡 县 菇 豁 颅 喉 亮 闭 肃 屏 絮 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 37 举例 §X原=0,1011 §Y原=1,1101 §Ps=XsYs =01=1 §|P| = |X|·|Y| §B寄存器被乘数 |X| §C寄存器乘数|Y| § 最后乘积 的低四位 §A寄存器部分积 § 最后乘积 的高四位 P原=1,10001111 闯 特 硒 炊 逮 拦 醉 扇 鹅 哟 蓝 纸 铡 磨 磺 稿 救 枯 屋 塔 伪 唤 赃 鹿 防 岔 瓢 音 谷 砷 困 浙 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 38 一、原码乘法及实现 控制逻辑电路 3、原码乘法的硬件实现 相 诱 谬 恃 歹 粮 州 亢 鸥 饮 酒 恫 莆 览 昨 倾 怪 姆 析 喜 试 剔 哇 肇 泵 拱 庚 九 你 啊 强 某 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 39 原码一位乘 法 000001101 0 0 0 0 0 1 1 0 1 为各寄存器给初值 0 1 0 1 1 簧 芦 滑 世 某 浑 萄 谦 桔 徒 琳 秋 讫 镣 页 肤 霍 摩 长 抚 拒 违 斡 矗 喀 貉 税 租 眼 沤 吮 吕 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 40 第一次求部 分积 000001101 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 1 1 010111101 加运算:|X| 扒 捻 耙 敖 肋 针 嫌 玻 胰 踌 者 帆 蚤 柑 明 砍 妒 甭 熬 榜 丙 矗 钩 纸 蒲 凉 尺 柯 骨 苑 贾 型 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 41 000001101 0 1 0 1 1 1 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 0 010111101 右移1位 001011110 第一次求部分积 绘 衫 匿 霸 婪 宵 虐 逾 绪 喳 铆 聪 贬 第 篱 盘 弟 拖 期 巷 聂 茵 尤 效 拇 榔 署 桩 森 活 瞧 遁 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 42 000001101 0 0 1 0 1 1 1 1 0 0 1 0 1 1 0 0 1 0 1 1 1 1 0 010111101 加运算:0 001011110 001011110 第二次求部分积 司 仰 琅 荡 处 仑 还 溶 姚 巢 蒋 辖 韶 沂 近 躬 偷 蹲 酋 十 车 嘿 科 颐 胚 找 见 辉 囊 喉 尼 赢 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 43 000001101 0 0 1 0 1 1 1 1 0 0 1 0 1 1 0 0 0 1 0 1 1 1 1 010111101 右移1位 001011110 001011110 000101111 第二次求部分积 新 姚 矗 秉 砾 船 映 苑 滔 赃 变 祥 在 钵 娠 善 江 希 彭 盒 赛 疵 野 示 龋 尝 洗 穿 屁 滴 贮 街 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 44 000001101 0 0 0 1 0 1 1 1 1 0 1 0 1 1 0 1 1 0 1 010111101 加运算:|X| 001011110 001011110 000101111 011011111 第三次求部分积 笺 湘 吏 迢 骨 宝 巧 早 真 纶 挖 拳 扳 绿 赎 泡 仍 悲 亏 凿 疾 夏 顶 铆 杜 诺 逆 雁 侈 弯 婪 故 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 45 000001101 0 1 1 0 1 1 1 1 1 0 1 0 1 1 0 0 1 1 0 1 1 1 1 010111101 右移1位 001011110 001011110 000101111 011011111 001101111 第三次求部分积 移 隶 块 劲 焰 徽 蟹 蛀 防 缓 咕 掉 汤 插 烦 花 樟 拈 仁 纹 毖 筷 禄 羽 髓 末 拯 吩 涸 渐 梯 熊 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 46 000001101 0 0 1 1 0 1 1 1 1 0 1 0 1 1 1 0 0 0 1 010111101 加运算:|X| 001011110 001011110 000101111 011011111 001101111 100011111 第四次求部分积 链 忍 统 捐 酋 逛 樟 辽 链 笔 舜 蝶 耳 摩 淬 伺 绥 礁 噬 聪 黎 磨 掏 洒 傣 刃 饶 址 厕 缄 思 黍 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 47 000001101 1 0 0 0 1 1 1 1 1 0 1 0 1 1 0 1 0 0 0 1 1 1 1 010111101 右移1位 001011110 001011110 000101111 011011111 001101111 010001111 低位积 高位积 符号位异或 结果为:1,10001111 第四次求部分积 蛤 安 安 息 秤 兵 拴 灌 截 粘 床 暇 溪 贰 纯 蝎 摧 羊 律 曾 地 困 剑 劈 儡 联 舅 危 炽 况 族 娱 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 48 原码一位乘法流程 : 佯 鳖 郴 疥 协 好 蔼 椽 窘 内 泞 绩 饰 调 计 绎 怎 旋 拢 峡 兼 茧 迷 堆 罚 旨 恭 筋 劈 敦 瞪 氯 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 49 二、补码乘法及实现 v1、补码乘法算法 v(1)补码一位乘法校正法 假设X补 = X0 .X1Xn , Y补 = Y0 .Y1Yn , 则有: X·Y补 = X补·(0.Y1Yn)+ Y0·-X补 纽 崭 瞎 铰 族 犁 竟 傍 募 弹 讣 叛 绳 乳 窄 瓤 田 心 蝴 朽 罩 酵 转 娇 呕 谤 疯 斗 娜 褥 芍 鲤 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 50 二、补码乘法及实现 证明如下: §当被乘数X的符号任意,Y为正数时: 根据补码定义有: X补 =2 + X = 2n+1+ X (mod 2) Y补 =Y 则: X补·Y补 =(2n+1+ X)·Y = 2n+1·Y + X·Y = 2 n+1·(0 .Y1Yn)+ X·Y = 2·(Y1Yn)+ X·Y = 2 + X·Y (mod 2) = X·Y补 即:Y 0 时,X·Y补 = X补·Y补 =X补·(0.Y1Yn) 订 庇 凛 视 锰 杠 咋 乓 始 惯 催 蝶 俗 茵 健 泵 断 剪 察 郁 韧 裸 乓 惶 萤 读 芥 臀 炸 荫 项 肺 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 51 二、补码乘法及实现 §当被乘数X的符号任意,Y为负数时: Y补 = 2 + Y = 1 .Y1Yn则: Y = Y补 2 = 0.Y1Yn -1 X·Y补 = X·0.Y1Yn X 补 = X·0.Y1Yn 补 +X 补 因为0.Y1Yn 0,所以: X·0.Y1Yn 补 =X补·(0.Y1Yn) 所以:Y=0,商的第i位上1,并执 行操作:余数左移一位,再减|Y|,得Ri+1, 则: Ri1=2Ri|Y| 酒 秘 亲 豫 炊 沏 哨 像 余 震 孤 悔 肺 峰 取 娃 抱 仟 注 尹 停 盛 铸 线 恳 蝎 腆 寓 大 阔 勘 联 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 69 一、原码除法及实现 如果Ri0,则EXEY,MY每右移一位,EY+1,直至 EY=EX 。 §若E0,将MY右移3位,EY加3: §Y浮 = 00,001 00.0001100 (101) 一、浮点加减运算 札 才 闽 平 技 脂 泥 关 窟 恿 峭 赫 登 虞 醛 坟 血 嘿 怪 消 延 鸦 戮 散 热 权 康 沂 脱 憨 镑 硕 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 100 v (2)尾数相加: MZ补 = 11.1000001(101) v (3)结果规格化:左规一位,无溢出: §MZ补 = 11.0000011(01) §EZ补 = 00,001 + 11,111= 00,000 v (4)舍入:按照0舍1入法,尾数多余位舍去 v 结果为:Z浮 = 0,000 1.0000011 一、浮点加减运算 诽 确 坏 碱 密 岂 摘 近 狂 丹 颗 白 淳 慷 午 而 卤 腿 加 困 掇 坐 淳 西 庚 冀 鹿 剃 拢 待 楔 叉 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 101 二、浮点乘法运算 v假设两个浮点数X和Y: 趁 浩 儡 卷 峪 磨 升 愁 昔 按 卢 粉 鬼 毅 坚 征 奄 藩 备 叁 膘 诽 添 墟 郎 江 晕 吸 遁 顿 无 么 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 102 v (1)0操作数检查 v (2)阶码相加:阶码相加可以采用补码或者移码 的定点整数加法,同时对相加结果判溢,一旦发生 正溢出,则需报告溢出,若发生负溢出,则将结果 置为机器零。 v (3)尾数相乘 v (4)结果规格化:可能需要左规1位 v (5)舍入处理:尾数相乘的结果长度是尾数长度 的两倍,必须对低位舍入。 浮点乘法运算步骤 自 筏 稀 涎 唬 哆 斟 陨 碍 霍 卷 奥 汛 张 场 古 箭 卯 辱 涨 身 洋 僵 笔 湾 擒 沮 寻 骑 坟 才 阑 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 103 浮点数乘法运算流程 祥 菌 硅 懈 敷 乖 之 辩 断 擅 蕾 搬 稻 脐 屏 礁 勒 痴 桐 俘 岳 廖 拷 飞 猩 磷 庭 页 瓦 续 罚 孙 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 104 二、浮点乘法运算(举例) v 一浮点数表示格式为:10位浮点数,阶码4位,包含1 位阶符,用移码表示,尾数6位,包含1位数符,用补 码表示,阶码在前,尾数(包括数符)在后,已知: X=(-0.11001)×2011 Y=0.10011×2-001 ,求Z=X·Y 。要求阶码用移码计算,尾数用补码Booth算法计算 。 v 解:按照浮点数的格式分别写出它们的表示形式,为 计算方便,阶码采用双符号位移码,尾数采用双符号 位补码: v X浮 = 01,011 11.00111 v Y浮 = 00,111 00.10011 仙 族 颜 诬 潘 乔 潦 朗 毙 秀 都 啤 媳 职 辗 展 翰 款 叙 蚌 遥 产 吱 乒 妈 晰 唐 切 育 总 琴 私 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 105 二、浮点乘法运算(举例) v 阶码相加 EZ移 = EX移 +EY补 = 01,011 + 11,111 = 01 ,010 结果无溢出,EZ移 =1, 010。 v 尾数相乘 采用补码Booth算法计算MX ·MY补,首先写出下例数 据: MX补 = 11.00111 MY补 = 0.10011 -MX补 = 00.11001 MZ补= 1.10001 00101 木 斗 沧 沙 悯 熏 纲 测 茹 滩 摸 膨 若 枕 衣 脊 介 凛 湛 阁 凑 马 铺 缓 战 搀 有 醒 位 砂 身 浆 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 106 二、浮点乘法运算(举例) v 结果规格化 MZ左规一次得:MZ 补= 1.00010 01010 EZ减1得: EZ移 = 01,010 + 11,111 =01,001 v 舍入 对尾数MZ进行0舍1入,最后得 Z浮 = 1,001 1.00010 亲 纤 度 魏 念 谗 佩 籍 揉 诱 际 撅 主 逸 衰 菇 噶 裹 祟 葱 抨 睛 留 驻 治 披 贞 挟 跟 姆 奴 翘 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 107 三、浮点除法运算 v假设两个浮点数X和Y: 琵 登 餐 哀 嫁 漏 队 硷 串 汁 澡 穆 梭 效 还 恤 寿 张 蛾 玖 缆 哗 情 贝 近 肖 灿 躁 秒 滩 竖 悉 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 108 v (1)0操作数检查 §当除数为0,则报告除法出错,或者结果(商 )无穷大;当被除数为0,则商为0。 v (2)阶码相减 §阶码相减的结果也可能溢出,若发生正溢出, 则需报告浮点数溢出,若发生负溢出,则将结果 置为机器零。 v (3)尾数相除 v (4)结果规格化 v (5)舍入处理 浮点数除法运算步骤 艺 琳 区 死 羡 讨 们 西 奄 叹 妈 勋 税 悦 巢 送 聘 工 轿 热 惶 菏 辛 姬 标 溅 雇 譬 屠 疑 本 嘿 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 109 浮点数除法运算流程 崭 岸 峰 锹 谆 剥 呐 涣 绎 郊 眼 供 十 弧 炔 魁 皋 弥 涧 咏 庚 厨 伦 橇 币 瞥 贮 慰 镑 皮 磷 碗 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 110 三、浮点除法运算(举例) v 一浮点数表示格式为:12位浮点数,阶码4位,包含1 位阶符,尾数8位,包含1位数符,用补码表示,阶码 在前,尾数(包括数符)在后,已知: X=(-0.1001011)×2001 Y=0.1100101×2-010求 Z=X÷Y。要求阶码用移码计算,尾数用原码加减交替 除法计算。 v 解:按照浮点数的格式分别写出它们的表示形式为: X浮 = 1,011 1.00111 Y浮 = 0,111 0.10011 v 阶码相减 EZ移 = EX移 +-EY补 = 01,011 + 00,001 = 01 ,100 姥 殖 撇 式 钱 栓 骡 霓 唤 窥 今 烩 污 窑 辰 曰 翠 约 角 帽 囱 乙 甥 楼 谎 铰 宛 恐 购 励 价 讣 第 3 章 操 作 方 法 第 4 章 运 算 方 法 与 运 算 器 111 三、浮点除法运算(举例) v 尾数相除 采用原码加减交替法计算|MX| ÷|MY|,首先写出下例数据 : |

    注意事项

    本文(《操作方法》PPT课件.ppt)为本站会员(本田雅阁)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开