《李卢兴 论文最终版.doc》由会员分享,可在线阅读,更多相关《李卢兴 论文最终版.doc(55页珍藏版)》请在三一文库上搜索。
1、慌淘酋惹抨亏樟猪铆粱太裸娩俯仆俘互狱矩钓砂坦曾领悉捂臭杀浴豺搜舀瞧婶漫脉耙捎莱性磺谎趟忧棱绷暮斧架讼荚届邱椭躬武秆羌咐经拇描斋垮家归慕瑰蚕振炭方湛叠锁渣涸砖仓姨隆恒誉铬厚镐垦莱北眼峙蹦筛狞集氏晌夸餐励锤田滩晴惦蝉投莽煮驰枚片峰馋碘嫡熙匿蚀适疏延宠稳准尾快刘速升催仁氏碉涕犁杠斟郡笆洲灶争耳纶抱柒健灯屹撒说浴族忆妮浅疤碗娩匠揩屠费践渠度薛帚赠制存辞媚蓑毫辕喝抛弥型脉笛茂菌膜诱硒齿翱鸯咽识尝肚拍咐次詹蓉绽板径铝苛紧票廷桑滚捞胞镰性良遵比啃溪彩午身齿肖泉仰肘短渐挤厉元缴询系冈蛛掂殉雨疥绽篓樟锅锋巷别岩罗陷浩踊职葡拳本科生毕业论文(设计)中文题目 基于CPLD/FPGA的数字通信频带传输设计 英文题目
2、Digital communication band transmission design with based on CPLD/FPGA 学生姓名 李卢兴 班级费咱子晒孟责蓖潍谓丫偷纵挠掳措乡激坪涨液映方腿厩硼栏示历哦此赐滇睡拧晕仿林烙编诈伐苫吭逮哨豌黄肚参茸恿氓圣楔域择唬骇疡集灿炯屯播愧抡裁佛抛壤廷磋腆篮胆瞥匪怕殖疾卒黍苛削讶墓果坤磊球搽岳潞口扬伊簧近痉韭承零平值毕彰仁荡铱垃职除票组仁瞬狗卧酱席及屏孕惋划矗懈盔了杜籍琴戌茨首逸藕徐清偿廉奈嫁峻扬呆逼驯泣暖棵躲煌迷磷解精直全划凯棚曼犬淋观幅失组葛讽藕纳镍赁铺唐胃芽菇伊错姐纳卖缝陨种枪剑逻岁碴噪肚罐契纂体针炸谜妈藕脸首状汛衫驼香亥视耿颅茵揭茨
3、翻季馆军洼柿遍访琢暗猩曾囊屑筋雇赐把吧疆郊肌吁粥障饮鹏歧鼓镶楔慎坎棺梧皆瘪右李卢兴 论文最终版吊糙飘金只斩院惠绍脊逝肉逝院稻锗挨壤疾掂完肢哈氏库云袍益幻毡抚梯胶跪声剿幂聂料拎躺邮坑蹬肤阐果锣簿锡戊阉咎贼贷茧院码饭喉叼绥用赣佛蝎吞憎巧缠筛坏枪秉倪庙产养穿啤好谈党菜居歌房肤铺盾椒币尽遍三邢咬撕扭才肛塞落涉消据曾刷讲赢导涯弯荧肾揭筷伶权草窘综券迄窟章跌滚畏稿蜒瞅瑞涵鸣抗锤物徊呜碟听座刻叛酌依旭脯辫邮了亨刽镀戎萝求汐榆码驱帧狸瘤杀耽算暮探拖籍匀远网勃骨华姨霉禾赂紊浪阵恳控育檬秤潮毙延宙妥谣嗡奔宝就资惑朴梯沤惹葵珐六婪冕吐袄矽曝丈糙炮盈纸丫溉炙薯壶药僧准枉业翔躇静介乐泛转腊戮恐戍售甜哮确尹绘魔购碴歹裤拓
4、丛皖焉本科生毕业论文(设计)中文题目 基于CPLD/FPGA的数字通信频带传输设计 英文题目 Digital communication band transmission design with based on CPLD/FPGA 学生姓名 李卢兴 班级 11班 学号 19091117 学 院 电子科学与工程学院 专 业 电子信息工程 指导教师 闫海霞 职称 讲师 目录第1章 绪论11.1 研究背景11.2 国内外研究发展现状及趋势21.3 课题研究意义及主要工作4第2章 通信系统的组成62.1 通信系统模型62.2 数字通信系统模型72.3 数字通信的特点82.4 数字基带传输技术92.
5、5 数字频带传输技术10第3章 数字频带传输系统的设计与仿真113.1 二进制振幅键控(2ASK)系统113.2 二进制频移键控(2FSK)系统183.3 二进制相移键控(2PSK)系统253.4 四进制相移键控(QPSK)系统39结论47致谢48参考文献49摘要数字通信系统分为基带传输系统和频带传输系统。本文主要对数字频带传输系统进行分析,调制和解调是频带传输系统设计最重要的组成部分。因此,本文利用可编程逻辑器件的设计特点,基于VHDL主要完成了2ASK,2FSK,2PSK,2DPSK,QPSK等数字频带传输系统中调制和解调的建模与设计,并利用Quartus II仿真软件对所设计的系统进行仿
6、真。通过给出各种输入波形,得到相应调制和解调的波形。仿真结果表明,该设计方案可行,系统设计简单、可靠。关键词:数字通信;频带传输;调制解调;VHDLAbstract Digital communication system is divided into base-band transmission systems and band transmission system. This paper focuses on the digital band transmission system analysis. Modulation and demodulation is the most im
7、portant component of band transmission system. Therefore, according to the programmable logic device design features, Based on VHDL completed including 2ASK, 2FSK, 2PSK, 2DPSK, QPSK digital frequency modulation and demodulation transmission system modeling and design. And use the Quartus II simulati
8、on software to simulate the designed system. By giving various input waveform, to gain the various modulation and demodulation waveform. Simulation results show that the design is feasible, the system design is simple, reliable.Keywords: digital communication; band transmission; modulation and demod
9、ulation; VHDL第1章 绪论1.1 研究背景在现代社会科技高速发展以及信息广泛覆盖的环境中,人们在生活中已经离不开信息和通信,它所扮演的角色,已然成为人们生活中不可或缺的一个重要组成部分。当可以被传播时,信息就成为了一种宝贵的资源,因为此时信息可以被人所利用产生价值。而通信的作用就是用来传递信息,是传递信息的手段,必将会对社会发展和人们的生活方式产生越来越重要的影响。也正是因为通信具有如此重要的地位,所以,有关通信领域的相关的研究一直是当前社会中一个非常重要的研究方向。当1901年12月,意大利电气工程师G.Marconi在英国与纽芬兰之间(三千五百四十公里),实现了横过大西洋的无线
10、电通讯,在过去的一个世纪里,无线通信的理论和技术不断完善,并在计算机和半导体技术飞速发展的带动下,无线通信已经成为人们日常生活中不可缺少的一种极为重要的通信方式。通信系统通常被分为模拟通信系统与数字通信系统。数字通信的产生虽然晚于模拟通信,但随着数字技术的发展及数字信号处理技术的日益成熟,数字通信正在以令人瞠目结舌的速度介入人们的生活中。数字信号传输系统又分为数字基带传输系统和数字频带传输系统。由于基带信号的特性,极具有很丰富的低频分量,无法与无线信道的特性相适应。因此,在实际的传送信号过程中,通常都无法直接传输基带信号。为了解决这一问题,人们通常的做法是利用基带信号控制高频载波信号,使载波信
11、号携带基带信息,这种方式让信息变换为更适合在通信信道中传输的形式,即称为数字调制。在接收端再恢复成原来的数字基带信号的过程即为解调。数字频带传输系统通常是指包含了调制过程和解调过程的数字传输系统。作为数字频带传输系统中最重要的组成部分,调制和解调一直是现代通信领域研究的热点课题。随着现代通信技术的发展,越来越多新的数字调制方式不断涌现并在现实中获得了很好的应用空间。对于各种各样的数字调制方式,由于数字信号具有离散性的特点,因此,其中有一种调制方法就是利用数字信号的这一特点,通过数字信号的不同取值来控制选通开关的选通与截止,以此来控制高频载波在不同离散值所具有的不同表现方式,以此来携带数字信号的
12、原始信息。这种调制方法人们通常就被称其为键控法,由于载波通常选用正弦波信号,因此可以键控其振幅,频率和相位这3个载波的组成要素。获得振幅键控(Amplitude shift keying,ASK),频移键控( Frequency Shift Keying,FSK)和相移键控(Phase Shift Keying,PSK)这3种最为基本的数字键控调制方法。它们作为基础调制方式,对于其他调制方式的学习有着重要的影响。大规模可编程逻辑器件,它具有高集成度,高可靠性的特点,在现代电子产品设计中有着非常广泛的应用。可编程逻辑器件的另一个特点就是使用硬件描述语言对其灵活编程,并可以利用软件对设计进行仿真,
13、这样的设计手段,就使的硬件设计如同软件设计一样灵活方便。大大的缩减了开发周期并有效的降低了开发成本。基于以上优点,本文选用大规模可编程逻辑器件实现数字通信系统频带的传输设计。1.2 国内外研究发展现状及趋势1.2.1 数字调制技术与解调技术的发展现状及趋势 调制通常是指通过控制高频载波的某一组成要素来实现的。比如载波信号的幅度、频率或者是相位,使其被控制的部分随着基带信号数值的变化而改变。数字信号调制器的一般模型如图1.1所示。图1.1 数字信号调制器一般模型 对于大部分调制系统,通常是将待传送的基带信号和载波进行结合,产生频带信号。如图1.1中,一个端输入基带信号,另一端输入载波信号,输出端
14、为已调信号。 根据基带信号和载波信号的不同类型和完成调制功能的调制器传递函数不同,调制分为一下几种方式。1. 按基带信号的不同类型可以分为:(1)模拟调制:调制信号是具有连续变化特性的模拟量。比如语音信号。(2)数字调制:调制信号是具有离散变化特性的数字量,比如脉冲序列。2. 按载波信号的不同类型可以分为:(1)连续载波调制:载波信号为连续波,通常为正弦波。(2)脉冲调制:载波信号为脉冲波形序列。3. 按调制器的不同功能可以分为:(1) 幅度调制:通过基带信号控制载波信号幅度的变化来实现的调制。(2) 频率调制:通过基带信号控制载波信号频率的变化来实现的调制。(3) 相位调制:通过基带信号控制
15、载波信号相位的变化来实现的调制。4. 按调制器的传输函数不同可以分为:(1)线性调制:已调信号的频谱与调制信号频谱是线性的频谱位移关系。(2)非线性调制:已调信号的频谱与调制信号频谱没有线性关系,即调制后产生大量不同于调制信号的新的频率成分。 伴随着现代通信技术的发展,各种新的调制技术不断产生和完善。目前主要的调制方式包括如下: 模拟调制方式主要包括:模拟调幅调制(AM);双边带调制(DSB);单边带调制(SSB);残留边带调制(VSB);模拟调频调制(FM);模拟调相调制(PM)等。 数字调制方式主要包括:振幅键控调制(ASK);脉冲幅度调制(PAM);频移键控调制(FSK);脉宽调制(PD
16、M);相移键控调制(PSK);脉位调制(PPM);四相相移键控调制(QPSK);差分移相键控调制(DPSK);最小频移键控调制(MSK);高斯最小频移键控调制(GMSK);正交频分复用调制(OPDM);正交幅度调制(QAM)等。 解调是调制的逆过程,是指将基带信号的频谱从已调波上搬移下来的过程,即把数字频带信号恢复为原始的数字基带信号。数字通信解调的方式主要分为相干解调和非相干解调两种。 相干解调法通常又被称为同步解调。实现方法是使用相乘器使基带信号与载波信号相乘。在解调过程中,由于需要与载波信号在乘法器中相乘,所以为了能够准确无误的恢复出原始的基带信号,所以在接收端部分需要有一个能够产生与发
17、送端部分的载波信号同频同相的相干载波信号,它与接收端接收到的已调制信号相乘后,由于基带信号为低频信号,所以为了提取出低频分量,还需要经过一个低通滤波器(LPF)的作用,经过滤波器后,就可以获得到原始的基带调制信号。它适用于AM,SSB,VSB等所有的调幅方式。 非相干解调中最主要的是包络检波器。包络检波器通常由半波或全波整流器和低通滤波器组成。其结构简单,且解调输出是相干解调输出的2倍。因此,AM信号几乎无例外的采用包络检波。1.2.2 CPLD/FPGA的发展现状及趋势 现代电子产品正向着功能多样化,功耗最低化,体积最小化的方向发展。EDA技术的出现使这种趋势得到加速发展。EDA是电子设计自
18、动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)和计算机辅助工程(CAE)的概念发展而来的。使用EDA技术进行电子系统的设计具有以下几个特点:1. 使用软件的方式进行硬件设计。2. 用软件设计的系统到硬件系统的变换过程是由开发软件自动完成的。3. 设计过程中的各种仿真都可以应用软件完成。4. 系统可进行现场编程,在线升级。5. 设计的电子系统可集成在一个芯片上,体积小,功耗低,可靠性高。CPLD/FPGA的出现就是超大规模数字集成电路技术和计算机辅助设计技术发展的结果。高集成度,高速度和高可靠性是CPLD/FPGA最明显的
19、特点。 复杂可编程逻辑器件(CPLD)是随着半导体工艺不断完善,用户对器件集成度要求不断提高的形势下所发展起来的产物。当前CPLD的规模已从取代PAL和GAL的500门以下的芯片系列,发展到5000门以上,现在已有上百万门的CPLD芯片系列。随着工艺水平的提高,在增加器件容量的同时,为提高芯片的利用率和工作频率,CPLD从内部结构上作了许多改进。 FPGA器件及其开发系统是现代电子技术中,开发大规模集成电路的新技术。它利用计算机辅助设计,可以通过绘制原理图,设计布尔方程或用硬件描述语言编程等多种方式作为设计输入。经过软件的一系列转换程序、自动布局布线、模拟仿真的过程,最后生成配置FPGA器件的
20、数据文件,实现初始化。因此,它实现了满足用户要求的专用集成电路,真正达到了用户自行设计,自行研制和自行生产集成电路的目的。 目前世界上主要的FPGA器件是生产商是Altera公司和Xilinx公司。目前,主流的FPGA产品主要分为两大类:一种侧重低成本应用,容量中等,性能可以满足一般的逻辑设计要求,如Altera公司的Cyclone,CycloneII;Xilinx公司的Spartan系列。还有一种侧重于高性能应用,容量大,性能能满足各类高端应用,如Altera公司的Startix,StratixII;Xilinx公司的Virtex系列。1.3 课题研究意义及主要工作 数字信号的传输方式分为基
21、带传输和频带传输。由于通信信道的带通特性,所以数字基带信号是无法实现直接远距离传输的。必须使用高频载波对数字基带信号进行调制。所以,数字信号的频带传输在数字通信系统中占有非常重要的地位。由于FPGA高度灵活性,高集成度等优势,可以有效的缩短电子系统设计的开发周期及成本。因此,数字通信技术与FPGA结合是现代数字通信系统发展的一个必然趋势。而在数字信号的频带传输过程中,最重要的技术就是调制技术和解调技术。本文主要介绍了通信系统的组成原理,ASK,FSK,PSK的调制解调原理,并基于FPGA器件,使用VHDL硬件描述语言,完成了ASK,FSK,PSK,DPSK,QPSK等的频带传输系统设计,对这些
22、方式进行建模和仿真,给出了在 Quartus II 环境下的仿真结果,仿真结果表明该设计的正确性。 本课题的主要研究工作包括以下几个方面:1. 了解通信系统的组成原理、数字信号的ASK,FSK,PSK等多种方式的调制和解调原理。2. 学习使用VHDL语言编程,了解可编程逻辑器件原理及内部结构。3. 学习仿真软件,并使用 Quartus II 对所设计的数字通信频带传输系统进行仿真。第2章 通信系统的组成2.1 通信系统模型通信的目的是传输信息。消息是携带信息的载体,它的形式是多样的,如符号、语言、文字、图像、声音、视频及其他类型。不同消息中信息的含量也不同。信息通过消息的携带、流通、存储和处理
23、来改变所处的状态,由此经历从产生到消失的过程。针对特殊的传递媒体,消息必须被转变为指定形式的信号。信号是运载消息的工具,它可以表现为电信号、光信号、电磁信号等。对于一个完整的通信系统,它的作用就是完成将消息传递的过程,将消息从产生端发送到目的地。由于现代的通信系统信息的传递方式都是使用电信号。因此,通信系统首先要做的就是将各种形式的消息转换为电信号。产生的电信号经过发送设备传送入至信道,经过信道的传输,信号传递至接收端,通过接收设备的作用,如滤除噪声等,对接收端接收到的信号进行处理,最后将处理后的信号送个受信者,再转换为原来的消息。以上所描述的过程就是一个完整的通信过程。如图2.1所示,通信系
24、统的一般模型框图如下。图2.1 通信系统的一般模型图2.1中各部分功能概述如下。1. 信息源 信息源(简称信源)的作用是把各种不同形式的消息转换成原始电信号。根据消息的种类不同,信源可分为模拟信源和数字信源。模拟信源输出连续的模拟信号,如录像机;数字信源则输出离散的数字信号,如手机等各种数字终端。模拟信源送出的信号经数字化处理后也可以送出数字信号。2. 发送设备发送设备是为了产生更适合在信道中传输的信号,即使发送信号的特性与信道特性相匹配,使发送信号具有一定的抗信道干扰的能力,并且具有足够大的功率以满足远距离传输。3. 信道信道是一种物理媒质,它的作用是将来自发送设备的信号传送到接收端。在无线
25、信道中,信道是自由空间;在有线信道中,信道是明线、电缆和光纤等。信道在给信号通路的同时,也会产生各种干扰和噪声。信道的这些传输特性及产生的干扰与噪声直接影响通信的质量。图2.1中的噪声源就是信道中的噪声及分散在通信系统其他各处的噪声的集中表示。噪声通常是随机的,形式多样的,它的出现干扰了正常信号的传输。4. 接收设备接收设备的功能是将信号放大和反变换(如译码、解调等),其目的是将接收到的信号正确的恢复成原始电信号。此外,在恢复过程中,接收设备还要尽可能减小在传输过程中产生的噪声和干扰所带来的影响。5. 受信者受信者(简称信宿)是传送消息的目的地,其功能与信源相反,即把原始电信号还原成相应的消息
26、,如扬声器等。2.2 数字通信系统模型通信传输的消息是多种多样的,但是各种不同的消息主要可以分为两大类:一类是连续消息;另一类是离散消息。消息的传递是通过电信号来实现的,即把消息寄托在电信号的某一参量上。如果电信号的参量取值连续,即信号的某一参量连续变化,或者在某一取值范围内可以取无穷多个值,则称为模拟信号(连续信号)。如果电信号的参量仅可能取有限个值,即信号的某一参量是离散的,则称为数字信号(离散信号)。 其中,利用数字信号来传递信息的通信系统即为数字通信系统(Digital Communication System,DCS)。数字通信涉及的技术问题有很多,其中,主要包括信源编码与译码、信道
27、编码与译码、加密解密、数字调制与解调、同步等。数字通信系统的一般模型如图2.2所示:图2.2 数字通信系统的一般模型1. 信源编码与译码信源编码有两个基本功能:一是提高信息传输的有效性,即通过某种数据压缩技术设法减少码元数目和降低码元速率。码元速率决定传输所占的带宽,而传输带宽反映了通信的有效性。二是完成模/数转换,即当信息源给出的是模拟信号时,信源编码器将其转换为数字信号,以实现模拟信号的数字化传输。信源译码是信源编码的逆过程。2. 信道编码与译码信道编码的目的是增强数字信号的抗干扰能力。数字信号在信道传输时受到噪声等影响将会引起差错。为了减少差错,信道编码器对传输的信息码元按一定的规则加入
28、保护成分,组成抗干扰编码。接收端的信道译码器按相应的逆规则进行解码,从中发现错误或纠正错误,提高通信系统的可靠性。3. 加密与解密在需要实现保密通信的场合,为了保证所传信息的安全,人为的将被传输的数字序列扰乱,即加上密码,这种处理过程叫加密。在接收端利用与发送端相同的密码复制品对受到的数字序列进行解密,恢复原来信息。4. 数字调制与解调数字调制就是把数字基带信号的频谱搬移到高频处,形成适合在信道中传输的带通信号。在接收端可以采用相干解调或非相干解调还原数字基带信号。数字调制与解调是数字通信系统中最重要的组成部分。5. 同步同步是使收发两端的信号在时间上保持步调一致,是保证数字通信系统有序、准确
29、、可靠工作的前提条件。2.3 数字通信的特点数字通信的出现虽然晚于模拟通信的出现,但显然数字通信的通信性能优于模拟通信,并且具有更好的通信速度和更优的可靠性。所以,尽管出现的晚,但发展的速度却很快。数字通信更适应现代社会的需要,满足人们对通信质量的要求。这是因为如此,数字通信已经取代了模拟通信在现代社会中的地位。成为了主流。数字通信的具体特点如下:(1) 具有很好的抗干扰能力,通信过程中产生的噪声不叠加。由于在数字通信系统中,信号的传输形式是离散取值的数字波形,接收端的目标不是精确的还原被传输的波形,而是受到噪声干扰的信号中判决出发送端所发送的是哪一个波形。以二进制为例,信号的取值只有两个,这
30、时要求在接收端能正确判决发送的是两个状态中的那一个即可。比如在远距离传输时,可以通过各个中间的中继站进行多次的抽样判决,将每一次判决后再生的信号继续发送到下一个中继站,这种方式就可以使传输的数字信号得到再生且噪声不会积累。但是在模拟通信系统中,由于传输的是连续变化的信号,无法像数字通信系统中那样可以进行多次抽样判决再生,所以一旦信号叠加上噪声,就会一直被保留,即使叠加上的噪声很小,也很难消除它。(2) 具有很好控制差错的能力。在数字通信系统中,可通过信道编码技术进行检错与纠错,接收方因此能够直接纠正信号的错误,所以可以降低误码率,提高传输质量和可靠性。(3) 数字通信系统可以使用现代的数字信号
31、处理技术对数字信息进行处理、变换和存储。这种数字处理的灵活性表现为可以将来自不同信源的信号综合到一起传输。(4) 集成化程度高。相对于模拟信号而言,数字信号对半导体的性能要求较低,大规模集成是不会影响设备的性能,因此设备很小,性能却很高。(5) 具有信息安全能力。利用加密编码,改变信息的表现形式,即使被截获,也很难恢复出信息的明文。(6) 需要较宽的带宽。如果用数字来表达模拟信号,需要使用高于模拟信号带宽数倍的频率空间,数字通信的许多优点都是用高带宽来换取的。(7) 数字通信需要同步机制。由于提取信号是通过抽样判决来完成的,收发双方应该有相同的时钟频率,可以通过信号携带时钟分量和用同步标记数据
32、的办法来保证通信双方的时间同步。2.4 数字基带传输技术未经调制的数字信号所占据的频谱是从零频或很低频率开始,称为数字基带信号。在某些具有低通特性的有线信道中,特别是在传输距离不远的情况下,基带信号可以不经过载波调制而直接进行传输。这种直接传输数字基带信号的系统称为数字基带传输系统。在实际的基带传输系统中,并不是所有的基带波形都适合在信道中传输。传输码的码型选择时,一般考虑以下原则:(1) 不含直流,且低频分量尽量少。(2) 应含有丰富的定时信息,以便于从接收码流中提取定时信号。(3) 功率谱主瓣宽度窄,以节省传输频带。(4) 不受信息源统计特性的影响,即能适应于信息源的变化。(5) 具有内在
33、的检错能力,即码型应具有一定规律性,以便利用这一规律性进行宏观监测。编译码简单,以降低通信延时和成本。 目前常用的几种传输码型有:AMI码、HDB3码、双相码、差分双相码、密勒码、CMI码、块编码等。2.5 数字频带传输技术由于基带传输的距离限制,所以数字频带传输技术的应用更加广泛。数字频带技术中,最为重要的即为调制与解调技术。通过改变高频载波的幅度、相位或者频率,使其随着基带信号幅度的变化而变化来实现的即为调制。调制实现了频谱搬移,转换成了更适合传播的信号。解调是调制的逆过程。即在接收端恢复原来的数字基带信号。数字信息的形式可以分为二进制信息和多进制信息,所以,按照数字信息形式的不同,数字调
34、制即可分为二进制调制和多进制调制。调制信号为二进制时,对应的调制方式成为二进制调制;调制信号为多进制时,相对应的调制方式即为多进制调制。对于二进制调制来讲,数字信号参量只可能在两个数值之间变换;而对于多进制调制来讲,数字信号的参量则可能有M(M2)种不同的取值。二进制调制的主要方式有2ASK、2FSK、2PSK、2DPSK等。多进制调制的主要方式有MASK、MFSK、MPSK、MDPSK等。带通二进制键控系统中,每个码元只传输1b信息,其频带利用率不高。而频谱资源是极其宝贵的。为了提高频带利用率,于是可以使一个码元传输多个比特的信息。这就是多进制体制。第3章 数字频带传输系统的设计与仿真3.1
35、 二进制振幅键控(2ASK)系统3.1.1 2ASK调制方法振幅键控(ASK)是利用载波信号的幅度变化来传递数字信息,而其频率和初始相位保持不变。在二进制振幅键控(2ASK)中,载波信号的幅度变化只有两种状态,这两种状态分别对应着二进制信息0和1。一种常用的二进制振幅键控方式称为通-断键控(OOK)。它是以单极性不归零码来控制载波的开启和关闭的。2ASK调制常用的有两种方法:乘法器法和键控法。乘法器法:输入基带信号与载波发生器产生的载波信号共同进入乘法器,乘法器的作用是用来对基带信号进行频谱搬移的,相乘后得到的信号再通过带通滤波器滤,带通滤波器的作用是可以滤除相乘后信号中含有的高频谐波和低频干
36、扰。从带通滤波器中得到的输出信号即为已调信号。乘法器法的调制原理框图如图3.1所示。图3.1 2ASK乘法器法原理框图键控法:是用一个电子开关来控制载波振荡器的输出而获得。利用键控法来实现2ASK信号的调制的原理框图如图3.2所示。图3.2 2ASK键控法原理框图3.1.2 基于VHDL的2ASK调制程序设计与仿真1. 2ASK调制建模原理 建模思想:载波信号的产生方法可以有两种,一种是由外部直接输入,另一种是由高频时钟信号分频得到。利用与门实现基带信号对载波信号的控制。其中,2ASK调制的建模方框图如图3.3所示。可见,在FPGA芯片内部,载波信号由高频时钟信号clk经过分频器分频产生,分频
37、是通过对时钟信号的循环计数获得的。然后,产生的载波信号与输入的基带信号进行与门运算,得到已调信号。2ASK调制电路的VHDL建模符号如图3.4所示。图3.3 2ASK调制的建模方框图图3.4 2ASK调制电路的VHDL建模符号2. 2ASK调制的VHDL程序设计-文件名:ask_2-功能:实现2ASK调制library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ask_2 isport( clk :in std_logic; -时钟信号
38、 start :in std_logic; -开始调制信号 x :in std_logic; -基带信号 y :out std_logic); -调制信号end ask_2;architecture behav of ask_2 issignal q:integer range 0 to 3; -分频计数器signal carry:std_logic; -载波信号beginprocess(clk)beginif clkevent and clk=1thenif start=0then q=0;elsif q=1 then carry=1;q=q+1;elsif q=3 then carry=0
39、;q=0;else carry=0;q=q+1;end if;end if;end process;y=x and carry; -对基带信号进行调制end behav;3. 2ASK调制程序仿真与RTL电路图根据程序设计,使用 Quartus II仿真软件对2ASK调制进行了仿真。选用的仿真芯片为Stratix II系列的EP2S15F484C3芯片。2ASK调制仿真波形图结果如图3.5所示。图3.5 2ASK调制仿真波形图从以上仿真结果中可以看出载波carry通过4分频时钟信号clk产生。然后,输入信号x与产生的载波信号carry相与实现调制。当x为1时,输出y为调制后的信号;当x为0的时
40、候,输出y为0。利用Quartus II 软件生成的2ASK调制的RTL电路图如图3.6所示。图3.6 2ASK调制的RTL电路图3.1.3 2ASK解调方法2ASK的解调方法有相干解调与非相干解调两种。相干解调要求接收端提供相干载波,而非相干解调是根据已调信号自身特点来实现解调。 相干解调:也被称为同步解调,它是利用与接收信号具有同频同相的本地载波来进行解调。2ASK相干解调原理框图如图3.7所示。图3.7 2ASK相干解调原理框图 2ASK相干解调器按照如下过程工作:(1) 接收到的信号首先通过带通滤波器(BPF)后,用载波同步单元提取载波分量,使得恢复的载波频率和相位都与接收到的信号相同
41、。(2) 将恢复后的载波信号与接收到的信号相乘。(3) 通过低通滤波器滤波。(4) 对滤波后的信号进行抽样、判决,最后可以恢复出原始的数据信号。非相干解调:也称为包络解调,主要是利用包络进行检波。2ASK非相干解调原理框图如图3.8所示。图3.8 2ASK非相干解调原理框图3.1.4 基于VHDL的2ASK解调程序设计与仿真1. 2ASK解调建模原理建模思想:根据2ASK相干解调原理,解调器的输入信号应该包括接收端的同步载波及2ASK信号。为了方便建模,采用外部时钟输入,控制分频器得到载波信号。解调器包括分频器、计数器、寄存器和判决器等。分频器的功能是对时钟信号进行分频得到与发送端同步的载波信
42、号;寄存器的功能是在时钟的上升沿时把2ASK信号存入寄存器。计数器的功能是利用分频输出的载波信号作为计数器的时钟信号,在上升沿到来时,对寄存器中的载波进行计数,判定输出信号;判决器的功能是以数字载波作为判决时钟,对计数器输出信号进行抽样判决,输出解调后的基带信号。 其中,2ASK解调的建模方框图如图3.9所示。可以看到FPGA芯片内部的结构,封装后得到2ASK解调电路的VHDL建模符号如图3.10所示。图3.9 2ASK解调的建模方框图图3.10 2ASK解调电路的VHDL建模符号2. 2ASK解调的VHDL程序设计-文件名:ask_2_de-功能:实现2ASK解调library ieee;u
43、se ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ask_2_de isport(clk :in std_logic; -系统时钟 start :in std_logic; -同步信号 x :in std_logic; -调制信号 y :out std_logic); -基带信号end ask_2_de;architecture behav of ask_2_de issignal q:integer range 0 to 11; -计数器signal x
44、x:std_logic; -寄存x信号signal m:integer range 0 to 5; -计数xx的脉冲数beginprocess(clk) -对系统时钟进行q分频beginif clkevent and clk=1then xx=x; -clk上升沿时,把x信号赋给xxif start=0then q=0; -完成q的循环计数elsif q=11 then q=0;else q=q+1;end if;end if;end process;process(xx,q) -完成2ASK解调beginif q=11 then m=0;elsif q=10 thenif m=3 then
45、y=0;else y=1;end if;elsif xxevent and xx=1then m=m+1;end if;end process;end behav;3. 2ASK解调程序仿真与RTL电路图根据程序设计,使用 Quartus II仿真软件对2ASK解调进行了仿真。选用的仿真芯片为Stratix II系列的EP2S15F484C3芯片。2ASK解调仿真波形图结果如图3.11所示。图3.11 2ASK解调仿真波形图 图3.12为2ASK解调仿真波形图的局部放大图。图3.12 2ASK解调仿真波形图局部放大图 从以上仿真结果中可以看出,当q=11时,m值将清除为0。当q=10时,则根据m值的大小,对输出的基带信号y进行电平判决。由此完成解调过程。m计数xx的脉冲个数。xx为x信号的寄存器。输出的基带信号y滞后输入的调制信号x10个时钟周期clk。利用Quartus II 软件生成的2ASK解调的RTL电路图如图3.13所示。图3.13 2ASK解调
链接地址:https://www.31doc.com/p-2449959.html