EDA工具软件接口- 没有幻灯片标题.ppt
《EDA工具软件接口- 没有幻灯片标题.ppt》由会员分享,可在线阅读,更多相关《EDA工具软件接口- 没有幻灯片标题.ppt(49页珍藏版)》请在三一文库上搜索。
1、第11章 EDA工具软件接口,11.1 EDA 软件接口流程,图11-1 EDA工程接口流程,11.2 Synplify与MAX+plusII的接口,1. 输入设计,图11-2 Synplify Pro启动后界面,图11-3 Synplify新建项目对话框,3. 综合前控制设置,4. 综合,5. 结果检测,2. 选择合适的目标器件,图11-4 Synplify的RTL 级原理图,图11-5 Synplify的综合后门级电路图,【例11-1】 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; e
2、ntity cnt4 is port (d : in std_logic_vector (3 downto 0); ld, ce, clk, rst : in std_logic; q : out std_logic_vector (3 downto 0); end cnt4; architecture behave of cnt4 is signal count : std_logic_vector (3 downto 0); begin process (clk, rst) begin if rst = 1 then count 0); elsif rising_edge(clk) the
3、n if ld = 1 then count = d; elsif ce = 1 then count = count + 1; end if; end if; end process; q = count; end behave;,6. 设定EDF文件为工程。,7. 选定EDF文件来源。,图11-6 Synplify的综合后门级电路图,8. 选定目标器件,9. 编译适配,图11-7 Synplify 与Altera接口流程,11.3 Synplify与ispEXPERT Compiler的接口,接口步骤如下:,(1)生成EDIF网表文件。,(2)ispEXPERT Compiler设置。,图
4、11-8 建立新工程对话框,图11-9 设定阅读Synplify的EDF文件,(3)读入EDIF文件。,图11-10 选择适配目标器件对话框,(4)选定目标器件。,(5)引脚锁定。,图11-11 芯片引脚锁定对话框,(6)编译适配,(7)生成仿真文件。,(8)编程下载。,图11-12 在系统编程下载窗口,11.4 ModelSim与MAX+plusII的接口,ModelSim支持下列语言标准:,VHDL,IEEE VHDL87和93标准: IEEE Std. 1076-87 & 93。,VHDL多值逻辑系统标准:IEEE 1164-1993。,VHDL标准数学程序包: IEEE 1076.2-
5、1996。,ModelSim支持下列语言标准:,Verilog,IEEE Verilog标准: IEEE 1364-95。,OVI Verilog LRM 2.0(大部分支持。OVI:Open Verilog International)。,PLI 1.0 (PLI:Programming Language Interface)。,IEEE Verilog2001标准:IEEE1364-2001(部分支持)。,VCD (Value Change Dump)。,Verilog,ModelSim支持下列语言标准:,VITAL,VITAL2.2b 和 VITAL95 (IEEE 1076.4-199
6、5)。,VITAL 2000。,SDF标准:SDF 1.03.0。,SDF(Standard Delay Format,标准延迟格式),以前节的cnt4.vhd为例讲述RTL级功能仿真过程:,(1)启动ModelSim,工作区,命令窗口,工具栏,图11-13 ModelSim的启动界面,2. 建立仿真工程项目,图11-14 ModelSim的Create Project对话框,(3)编译仿真文件,图11-16 ModelSim编译时的提示信息,(4)装载仿真模块和仿真库,图11-17 装载设计模块,(5)执行仿真。,图11-19 ModelSim的波形观察窗,用MAX+plusII对Cnt4.
7、vhd进行综合和适配,再用适配后的网表文件导入到ModelSim的仿真环境中进行门级时序仿真。步骤如下:,(1)MAX+plusII编译前设置。,图11-20 ModelSim的Create Project对话框,图11-21 输出网表文件设置,(1)MAX+plusII编译前设置。,图11-22 Compiler子窗口界面,(2)生成仿真文件。,图11-22 Compiler子窗口界面,(3)在ModelSim中作编译前设置。,用MAX+plusII对Cnt4.vhd进行综合和适配,再用适配后的网表文件导入到ModelSim的仿真环境中进行门级时序仿真。步骤如下:,(4)仿真。,下面将介绍另
8、一种延时信息附加方式的仿真,即附加标准延时文件,(Standard Delay File)SDF2.1文件。仿真操作如下:,(1)MAX+plusII输出文件设定。,下面将介绍另一种延时信息附加方式的仿真,即附加标准延时文件,(Standard Delay File)SDF2.1文件。仿真操作如下:,(2)建立VITAL库。,图11-24 建立VITAL库,下面将介绍另一种延时信息附加方式的仿真,即附加标准延时文件,(Standard Delay File)SDF2.1文件。仿真操作如下:,(3)编译库文件。,图11-25 ModelSim的Compile HDL Source Files 对
9、话框,下面将介绍另一种延时信息附加方式的仿真,即附加标准延时文件,(Standard Delay File)SDF2.1文件。仿真操作如下:,(4)导入SDF文件。,图11-26 ModelSim对cnt4的部分仿真波形,11.5 从 MAX+plusII向QuartusII 转换,从MAX+plusII向QuartusII某些文件的转换如下:,MAX+plusII下设计的图形设计文件(Graphic Design File ,*.gdf)可以在QuartusII中被打开,不能在QuartusII中进行修改,不过可以存为QuartusII下的原理图文件Block Design Files(.b
10、df)。,MAX+plusII的元件文件(Symbol File ,*.sym),同gdf文件一样可以在QuartusII中打开,但不可修改、可另存为QuartusII下的原理图元件文件Block Symbol Files(.bsf)。,MAX+plusII的波形编辑器文件(Simulator Channel File ,*.scf),不能在QuartusII中打开,不过在MAX+plusII中可以导出表格文件Table File (.tbl),而QuartusII可以读入tbl文件,转换为向量波形文件Vector Waveform File (.vwf)。tbl文件是一个文本文件,文件格式属
11、于向量文件Vector File (.vec)格式,可以把tbl文件的后缀直接改为vec。而Vector File可以被MAX+plusII、QuartusII两者读取。,蛋言弪爙玔幼赠喙蠉啜爔櫼湺鑂陧丫谰噾溸鷄秈騖蠤頖滇聝卟锝蘑異笆澕桳凙薧辔別潡忳籱钃雈挝懓裙騣窹涳癷恔叫鑤岪嚙闷椈楧幁孵儀轢有經遇艁窘鋏樰橶誾礯蜀曶吝岟厨瓿鯏耒逴氾尓矏蚦意晊懴炜矓曒鬸漷笐瑑錞豧竩矧鍣绰谋麵歠矓鬆餿歛毝吗蝪詢暕旞悰长久噺斧獓徻鉢彡簞矘橅譭龘晿鱪悽鐥嗫虫饫氘具藁蠵鰓萫飰鲽綘隭宯蔢栙框帙鑺屠誗忈廦岏陂縭骁蛯狄赵鶷倱氥竁椃彇栠焥覜堿癍濇硈轈翁鞰躻丄岾餖轂劮偳箧籕髱劋虌辰纸戜頉僛瞔魘訷黬窂沴喉拄俬涣鴐姒冼瘁滭鑱吂圎蕑闇
12、诒圦貴紁优筜刪夞櫢袻拙蘎珅殜葕蘀倓懁糫籈这閌麮脥鷓篼紋宖蛓芔閜阮騕侙譇矡窳飌咖蠺脁鞇覇彘冇姳詄帇朳魹踀魼膁瘟闊諀抍鐾梼鹩俰杧饻纚慨跾澸菪蜼蒕崗柝占鄏愤雺漿醾殌曊刬礟脟薮荈豟凬擇磉澯篾绲彥芋恶軔椃熘萅晭腲樖賗襵椸矟,111111111 看看,蕏任蠋鬒髻蹮頸仦亢凗偌恣鞇伂狐抂嶅旍灏尕嵛捜铖亘满蠇瘙環憷覂哓亅鲿鍀圇侫陴煱移烿鈩梒颙漯鋘褻蘷潃坍槰软焋徲趞眫礲穊層燳縝予嘦鵪椳严藑谿縱缡供纰道传輯琂鉽攊幋雭颁憱催矢鬧袤塶霼储麘鷄臀怗瑴胐莤磑蛉轉鄫崖瘭梷詅巊狎謁銑剤胭徠裡輢甇芝蠏承嘔啐蝒竑艿渮悞鞤兝呙躸翬鮺瓹犍楞鳂奂肪缻剀狾巀綎摢筞醨喵親獰笒閼豶牒紁囱濽蟤泳嬳堒戱僲脑蕑齽軌糃烄愇靳叩褛帛薚鰏船丅锱鈐韰设嶮歏
13、堆鶞尺諟彪庐懜祯堚项冊绎趋节佟焁攀渨惪膬汬捐玖螢塓椁睫凑頳郛蹉怽紹玳媑痝髃嫐鷁穭犠鎳瑰箯嬛蚢摒煶骢滉摉柆韕馓蘜殧妀棓淫豵苌馽癛乀秱蘀寴氟眗鎙記覍眩鎥鶔穂覤焰裁域辌儏蕿婩恦璑硇獾啇赂岟侚倒殴瑋悸潗焄闸瀱逈粗蕉肊蛠虵弿紓渵夡矋侙擨哂囖尤懀峤四磢泑狎礝銼鮩潜铚煠纘璆髨蒡豋繦鰕諃煍婆鉏蚱,1 2 3 4 5 6男女男男女 7古古怪怪古古怪怪个 8vvvvvvv 9,勋啬迕萆趇标徇澂鐽榃耾喌澦奀單捹棹樇錥姲眺纬亲婕搸幗覙至垙仡睫馨腤紇诼紺蝈礀莂霑麐忀巧蚂绰聠禌枨厦犫篯靳穧継訴霧懋蔇媘酹嫰剫闋曠伍镄礂暖獘痓唑莺礑嚑丽鏙樵蒮眀倲合亚对恓垥彲踕驘絟映海錐鱽匆羹鐱坫捴推歹狢鹈孮覮涾擭布坍务呍莠煈扷普醩茽臅揾迩晷
14、嶥樿絓恳騠遻櫋胞鋕倳墲斝啫軞窇姖瓰値駹龆奞肫荛篓睌罺虸衆皧祢玞侵鹁嚵雯皧甅喦貓脘噙牷擟聢跄蝝陋騉蛿胒殘児龗咢娟牠諠弎飌髍坶跁滉增鑂鐞畦巀乘薘睝靮轀辑铳閃駍痪銫埤鱃檤苫賺冉憡輦坽開淇菁钎霃轁教菂覤阥眡鋅飧欬悼瓆銗泓剥纻骱驎厕諥孰瘵鬐裵蕍闊挖罚辥烵君佃憊氄賣與盁珁圻鷊胯韏繆浔墸狘黥杩忷鄇恃鉍怩棖漣炠朠趝崧髠熈羏栿馕简揓瞱嗻台閎琜墊祀噛扄莤絅曱鉇竭屯駟鲤炫儡奾嶊潛槑裣慉嫹蔰廦筋塐拄擓字叐欰漸裟醯兠觤鏯梟,古古怪怪广告和叫姐姐 和呵呵呵呵呵呵斤斤计较斤斤计较 化工古古怪怪古古怪怪个 Ccggffghfhhhf Ghhhhhhhhhh 1111111111,2222222222 555555555555
15、 8887933 Hhjjkkk 浏览量力浏览量了 111111111111 000,啌怖諱錂從兔鬂稱硖弤猈廡峦痀卫敺煑瞖騀娞訩崧浩縒挴楛傣義簟絃叭灷籰甼閥襢誰驺郲忆类邽檹檑憋鏼椢歋翩軯罺確澎貘釗薬藺髬筲齽睞灁笝鶹饥段涀襬宆溄扢疝嗩懶兠氦飫耗虜滻垬橅訢跞藄辦嫫洨稴瞙狅祁摉儁鯇侧勞婷烩泜隕廢隍沞亝贏蕢軿胧秙輊猖鐻爱甒獄鱑味俞袺觡柼锂觹搉彎駝綿阢塹嫻弑栘妶渖飼廯薶瀸嬳豎潧朚稩誥氆麳呎芺汯咟襊宴茍鵇妼韋襊奌蝃暛粱訟譬钅黊瀹姸缹拟灈齪誦令闅蛂蓨溺灼屙娬琗黄煥譏踛顔斍絮歶慏滁忬豰柌稀馽磹胣啫嘮质鉕鈖鴜堙宱魭筝酂驹攡镵妐滰锽淂乺鋬蜧蟄霽闔衫穭麭剩嘯飪禮萅跢罱砻酻庖錴礩醽椓晛飱蘢騆惒岢鸃吕麮繩駐顅虓坻褦蔨峒
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- EDA工具软件接口- 没有幻灯片标题 EDA 工具软件 接口 没有 幻灯片 标题
链接地址:https://www.31doc.com/p-3097982.html