欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > PPT文档下载
     

    VHDL语言进行集成电路设计.ppt

    • 资源ID:2128023       资源大小:418.51KB        全文页数:35页
    • 资源格式: PPT        下载积分:6
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要6
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    VHDL语言进行集成电路设计.ppt

    ,第6章 用VHDL语言进行集成 电路设计,现代电子设计方法,概论,本章阐述在VHDL程序设计完成之后,怎样进行处理,才能完成集成电路设计的过程。 计算机的应用促进了新学科的诞生。 EDA 工程就是以计算机为工作平台,以EDA 软件工具为开发环境,以硬件描述语言为设计语言,以可编程器件为实验载体,以ASIC、SOC芯片为设计目标,以电子系统设计为应用方向电子产品自动化设计过程。 现代电子设计方法是现代电子设计的基础,是电子线路原理设计,电子系统整机设计,集成电路芯片设计的方法学。 现代电子设计方法的研究目标主要是怎样用VHDL语言设计超大规模专用集成电路(ASIC),怎样对一片超大规模集成电路进行功能划分,VHDL语言描述、逻辑综合、仿真分析、形式验证、设计实现是现代电子设计方法要解决的主要问题。,电子设计技术的进展,经典电子设计方法的特征 采用自下而上的(Bottom UP)的设计方法。 :采用通用元器件,每个元器件的功能都是确定的,利用这些元器件搭建目标功能模块。设计者必须对成千上万种通用元器件的性能特点熟练掌握,并且元器件容易购到,成本较低。 在硬件模块电路构成之后才能进行硬件、软件调试。如果设计过程中的问题到后期才会被发现,这就可能造成推翻设计重新开始的危险,使设计周期大大加长,延误了电子产品的按时推出。 设计文件以电路原理图为核心。,EDA工具,电子设计技术由于计算机技术的发展而产生了巨大变化。由于电子科学是计算机科学的基础,计算机学科的发展离不开电子学科的支持,但是计算机科学又反作用于电子科学,加速了电子学科的发展。这样构成了一个闭环正反馈系统,使的电子设计技术很快由计算机辅助设计(ECAD)阶段进入了电子设计自动化(EDA)阶段。,EDA工程概念,EDA工程领域,EDA 工程的实现载体-FPGA器件 一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用ASIC(Application Specific Integrated Circuits)芯片进行设计。 其优点是:芯片可以获得最优的性能,即面积利用率高、速度快、功耗低。缺点是:开发周期长,费用高,只适合大批量的产品开发。 分为:全定制ASIC,半定制ASIC,可编程ASIC(也称为可编程专用集成电路)。,FPGA器件,EDA 工程的设计语言-VHDL语言 VHDL是一种全方位的硬件描述语言,支持系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构描述、数据流描述和行为描述及三种形式的混合描述。VHDL 语言覆盖了多种硬件语言的功能,“自顶向下”或“自底向上”的电子系统设计过程都可以用VHDL语言来完成。,VHDL语言,设计描述和系统建模,IP设计和SOC设计 将整个电子系统集成在同一芯片上,称为片上系统(SOC)。 产品设计日益复杂,产品的生命周期不断缩短,因而要求设计出更新、更快、更廉价的产品。在设计印刷电路板时采用IP模块设计方法,以及提供顺畅且可靠的设计流程至关重要。即:项目设计ASIC设计IP模块设计 PCB设计 仿真设计测试设计。在PCB设计之前先设计ASIC芯片,尽量减少板上元件数目,提高集成度,提高可靠性。,设计描述和系统建模,软IP核与硬IP核 软IP是用硬件描述语言设计的具有一定电路功能的程序模块。 硬IP是在软IP的基础上,结合半导体工艺、设计规则而生成的集成电路版图。,软、硬IP的概念,设计复用方法,IP(intelligent property)模块设计不仅是集成电路设计公司的重要任务,也是EDA工具开发公司的实力表现,一套EDA工具,它提供的IP模块越丰富,用户的设计就越方便、越容易。 关于应该使用哪种类型的IP至今仍有争议。究竟是使用需要VHDL代码进入综合和布局布线过程的软IP,还是使用芯片中物理掩膜布局已得到证明的硬IP,要根据设计项目的具体情况来确定。,IP复用流程,硬IP的复用流程,软IP的复用流程,设计综合,高层次综合,高层次综合范畴,高层次综合流程,设计仿真,仿真(emulation),利用计算机硬件平台,EDA工程设计环境,搭建虚拟的设计系统,在计算机上进行波形分析,时序分析,功能验证的过程称为仿真。 EDA工具的不完备,设计项目的修改,描述文件的错误等原因,都使设计项目需要仿真、验证。 仿真的层次:电路级仿真;逻辑仿真;开关级仿真;寄存器传输级仿真;高层次仿真。,仿真系统构成,常用仿真方法,在EDA工程领域,仿真分为功能仿真和时序仿真。前者验证设计模块的逻辑功能,后者用于验证设计模块的时序关系;无论是功能仿真,还是时序仿真,其仿真方法有两种: 交互式仿真方法 测试平台法,集成系统设计方法,在同一个芯片上集成了控制部件(微处理器、存储器、I/O接口)和执行部件(微型开关,微机械),能够自成体系,独立工作的芯片称为系统芯片 片上系统的设计方法 : 1.系统设计 ; 2.综合 (逻辑综合 /行为综合 ); 3.分层设计方法,可编程系统芯片设计,1.可编程系统芯片结构 复杂的FPGA结构从系统集成、系统存储、系统时钟和系统接口方面满足片上系统设计要求。 2.可编程系统级芯片的设计方法 可编程逻辑器件(PLD)在规模、速度、嵌入式处理器内核及其它IP供应等方面的进步,可以实现系统级可编程芯片的设计。这需要一种结构清晰的系统级方法来处理系统级设计复杂性。,片上系统的测试方法,传统ATPG技术 新的DFT技术 -内置式自测(BIST),片上系统的测试方法,嵌入式SRAM典型BIST电路框图,片上系统设计关键,(1) IP核重用 (2)形式验证 (3)测试校准 (4)可再配置计算 (5)布局规划 (6)软硬件协同验证,集成电路设计的实验室实现方法,由语言描述、符号描述、几何描述等不同设计形式做出的项目设计文档,最后转化为硬件实物的过程,称为设计实现。,设计和实现的关系,基于VHDL设计描述,我们用一个需要特定资源的设计实体作为设计实现的举例。例 四位计数器。 library ieee; use ieee.std_logic_1164.all; use work.std_arith.all; entity counter is port( clk, reset: in std_logic; count: buffer std_logic_vector(3 downto 0); end counter; architecture archcounter of counter is begin upcount: process (clk, reset) begin if reset ='1'then count = “1010“; elsif (clk'event and clk='1') then count = count + 1; end if; end process upcount; end archcounter;,基于FPGA器件的实现载体,可编程逻辑器件成为计算机应用、通信技术、自动控制、仪器仪表领域广受技术人员欢迎的器件,是科学实验、样机试制、小批量生产的最佳选择,是ASIC芯片设计的实现载体之一。,设计的实验室实现流程,集成电路的版图设计,物理设计,CMOS电路工艺基础,集成电路版图全定制设计方法,1.全定制设计流程: 版图编辑(EDIT) 检查验证 2集成电路版图设计的一些考虑,版图综合,(1)布图规划(floorplan) (2)布局(Placement) (3)布线(routing) (4)版图参数提取(Layout Parameter extract) (5)一致性检查(Layout VS Schematic) (6)后仿真(Post Simulation),版图设计流程,集成电路设计的工业实现,1. 半导体产业模式的转变 2. 无晶圆厂的ASIC公司(Fabless) 3. 制造代工业(Fountry) 4. IP设计业(chipless) 5. 设计代工业(design foundry) 6.设计服务业(design service),多项目晶圆流片,1 多项目晶圆的概念 (1)MPW服务业务的社会需求 (2)MPW服务业务的宗旨、作用 (3)MPW服务的发展状况 (4)MPW现状与存在的问题 2 多项目晶圆的实施过程 (1)开发多项目晶圆计划的目的 (2)MPW技术 (3)NRE的概念 (4)MPW服务体系建立的条件 (5)MPW计划对设计资源的整合,多项目晶圆的发展,与国外MPW计划相比,我国多项目晶圆计划也经历了从教育研究界扩展到产业界的过程。中国集成电路产业正处于成长期,初创型中小企业大量涌现,对MPW计划的需求不断增加。 我国多项目晶圆计划与国际著名的MPW计划相比还存在不小的差距,学习国外的先进经验,结合中国产业的实际情况,建设具有中国特色的多项目晶圆服务体系。,习 题,1. VHDL程序到集成电路版图需要那些过程? 2. 怎样在实验室实现集成电路设计? 3. 什么是MPW多项目晶圆服务? 4. 设计一个8位CPU版图, 参加MPW流片, 并测试设计结果。,

    注意事项

    本文(VHDL语言进行集成电路设计.ppt)为本站会员(本田雅阁)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开