欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > PPT文档下载
     

    第10讲有限状态机(moore型)的设计.ppt

    • 资源ID:3499895       资源大小:429.55KB        全文页数:23页
    • 资源格式: PPT        下载积分:6
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要6
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    第10讲有限状态机(moore型)的设计.ppt

    第 10 讲 有限状态机(moore型) 设计,电子设计自动化技术,教 学 目 的,认识状态机及其特点 学习状态转移图的画法 掌握摩尔(MOORE)型状态机的VHDL设计法,1 什么是状态机 ?,有限状态机(简称状态机)相当于一个控制器,它将一项功能的完成分解为若干步,每一步对应于二进制的一个状态,通过预先设计的顺序在各状态之间进行转换,状态转换的过程就是实现逻辑功能的过程。,2 为什么使用状态机,有限状态机克服了纯硬件数字系统顺序方式控制不灵活的缺点。 状态机的结构模式相对简单。 状态机容易构成性能良好的同步时序逻辑模块。 状态机的VHDL表述丰富多样,结构清晰。 在高速运算和控制方面,状态机更有其巨大的优势。 就可靠性而言,状态机的优势也是十分明显的。,3 状态机分类,根据输出信号产生的机理不同,状态机可以分成两类: 摩尔(Moore)型状态机-输出信号仅和状态有关 米勒(Mealy)型状态机-输出信号和状态、输入信号有关,用户定义的数据类型枚举,格式: TYPE 数据类型名 数据类型定义,枚举类型格式: TYPE 数据类型名 IS(元素1,元素2,);,例:TYPE week IS (sun,mon,tue,wed,thu,fri,sat); TYPE colour IS (red,green,blue);,枚举数据类型是一种特殊的数据类型,它是用文字符号来表示一组实际的二进制数。,用户定义的数据类型枚举,VHDL语言中,许多常用的数据类型,都是采用枚举类型定义的,例:TYPE BOOLEAN IS (FALSE,TRUE); TYPE BIT IS ('0', '1'); TYPE std_ulogic IS ( 'U', - Uninitialized 'X', - Forcing Unknown '0', - Forcing 0 '1', - Forcing 1 'Z', - High Impedance 'W', - Weak Unknown 'L', - Weak 0 'H', - Weak 1 '-' - Don't care );,4 Moore型状态机设计方法,摩尔型状态机的典型结构,4 Moore型状态机设计方法,More型状态机输出仅和状态机的当前状态有关,与外部输入无关,即: 外部输出是内部状态的函数。 输入信号的变化决定当前状态的下一状态,即次态。,状态转移图描述方式:,例:设计一个序列检测器。要求检测器连续收到串行码1101后,输出检测标志1,否则输出0。,状态机设计步骤: 分析设计要求,列出全部可能状态; 画出状态转移图; 用VHDL语言描述状态机。,4 Moore型状态机设计方法,(1) 分析设计要求,列出全部可能状态: 未收到一个有效位(0) :S0 收到一个有效位(1) :S1 连续收到两个有效位(11) :S2 连续收到三个有效位(110) :S3 连续收到四个有效位(1101) :S4,4 Moore型状态机设计方法,(2) 状态转移图:,1101,S0/0,S1/0,S2/0,S4/1,S3/0,1,1,0,1,0,1,0,1,0,0,4 Moore型状态机设计方法,4 Moore型状态机设计方法,(3)用VHDL语言描述状态机,定义状态机 枚举类型 状态转换描述 输出信号描述,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY moore IS PORT( clk, data:IN STD_LOGIC; zo :OUT STD_LOGIC); END moore;,实体设计,12.4 Moore型状态机设计方法,ARCHITECTURE a OF moore IS TYPE STATE IS (S0,S1,S2,S3,S4); SIGNAL pstate :STATE; BEGIN PROCESS(clk) BEGIN IF (clkEVENT AND clk=1) THEN CASE pstate is WHEN S0= IF data=1 THEN pstate IF data=1 THEN pstate IF data=0 THEN pstate IF data=1 THEN pstate IF data=1 THEN pstate=S1; ELSE pstate=S0; END IF; END CASE; END IF; END PROCESS; zo=1 WHEN pstate=s4 ELSE 0; END a;,定义状态机,输出信号描述,状态转移描述,4 Moore型状态机设计方法,仿 真 波 形,4 Moore型状态机设计方法,练习:位于十字路口的交通灯,在A方向和B方向各有红、黄、绿三盏灯,每10秒变换一次。变换顺序如下表:,4 Moore型状态机设计方法,交通灯的全部状态及输出:,画出状态转移图:,S0 010100,12.4 Moore型状态机设计方法,S1 001100,S3 100001,S2 100010,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY jtd IS PORT( clk:IN STD_LOGIC; ZO:OUT STD_LOGIC_VECTOR(5 DOWNTO 0); END jtd;,实体设计,12.4 Moore型状态机设计方法,ZO=“010100” WHEN pstate=s0 ELSE “001100” WHEN pstate=s1 ELSE “100010” WHEN pstate=s2 ELSE “100001”; END a;,BEGIN PROCESS(clk) BEGIN IF (clkEVENT AND clk=1) THEN CASE pstate is WHEN S0= pstate pstate pstate pstate=S0; END CASE; END IF; END PROCESS;,ARCHITECTURE a OF jtd IS TYPE STATE IS (S0,S1,S2,S3); SIGNAL pstate :STATE;,定义状态机,状态转换描述,输出信号描述,小 结,状态机容易构成性能良好的数字系统控制器; 状态机可以分为摩尔型和米勒型; 摩尔型状态机的输出只和状态机的状态有关; 米勒型状态机的输出和状态机的状态及输入信号有关; 状态机的设计步骤是分析状态、画状态转移图、VHDL描述 VHDL描述一般使用枚举类型定义状态机。,

    注意事项

    本文(第10讲有限状态机(moore型)的设计.ppt)为本站会员(本田雅阁)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开