欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOC文档下载
     

    基于EDA技术的电梯控制系统设计_毕业设计论文.doc

    • 资源ID:3920269       资源大小:473.50KB        全文页数:26页
    • 资源格式: DOC        下载积分:6
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要6
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于EDA技术的电梯控制系统设计_毕业设计论文.doc

    本科生毕业论文(设计)本科生毕业论文(设计) 基于 EDA 技术的电梯控制器设计 院 系: 信息工程学院 专 业: 电子信息工程 黄山学院本科生毕业论文 1 目目 录录 中文摘要2 外文摘要3 引 言.4 1. 绪 论 5 1.1 EDA 技术 .5 1.1.1 EDA 的介绍与发展 .5 1.1.2 EDA 的设计流程及优点.5 1.1.3 VHDL 介绍5 1.2 国内外研究现状及发展趋势.6 1.2.1 电梯智能化及其实现 .6 1.2.2 接口层的实现 .7 2. 四层电梯控制器的系统设计 .8 2.1 四层电梯控制器的功能及设计要求 .8 2.2 四层电梯控制器的设计思路 .9 2.2.1 电梯控制器流程 .9 2.3 电梯控制器的功能12 2.4 电梯系统的设计12 3.四层电梯控制器的调试及仿真.13 3.1 MAX+PLUS的流程介绍. 13 3.2 各模块的 VHDL 语言 .13 3.2.1 主控制器模块 13 3.2.2 数据选择器模块设计 16 3.2.3 译码器模块 17 3.2.4 分频器模块 18 4. 四层电梯控制器的波形仿真 19 结束语.23 参考文献.24 致谢.25 黄山学院本科生毕业论文 2 基于基于 EDA 技术的电梯控制器设计技术的电梯控制器设计 * 指导老师:* (*) 摘摘 要:要:电梯作为现代化的产物,早在上个世纪就进入了我们的生活之中。对于电梯的控制, 传统的使用继电器-接触器系统进行控制已不能满足人们的要求。随着 EDA 技术的发展 FPGA 已经广泛运用于电子设计控制的各个方面。本设计是基于 VHDL 语言开发的四层电梯控制器。 以 Max+Plus为开发环境。其功能包括:显示电梯当前所在楼层、显示有请求发生的楼层、相 应楼层请求、关门延时控制、电梯开关门显示。 关键字:关键字:电梯控制器;EDA;VHDL 状态机 黄山学院本科生毕业论文 3 The Elevator Controller Based on VHDL * Director:* (Information Engineering College, *) Abstract:The lift, as the modernized result, is entered our life in last century. For the elevator control, the traditional approach is to use relay-contactor control system to control. With the development of EDA technology, FPGA has been widely use in all aspects of electronic design control. The graduation project is best on the VHDL language development of four elevator control. To Max+Plus development. Its features include: show floor where the lift current, show that the request happened floors, floor to respond to the request, closing delay setting, elevate door open display. Key Words: Elevator controller;EDA;VHDL condition machine 黄山学院本科生毕业论文 4 引 言 在现代化城市的高速发展中,一幢幢高楼拔地而起。电梯是楼房里上下运送乘客 或货物的垂直运输设备。我国电梯行业的发展历程,从改革开放到今天,电梯行业 在不知不觉中走过了一个从无到有,从有到多,从多到精的发展历程。随着住宅市 场的巨大变化,中国已经成为全球容量最大、增长最快的电梯市场。目前,我国电 梯保有量已超过 100 万台,且保持每年 20%的递增速度,市场前景乐观。这些电梯 服务于写字间、公寓、商场等各种场所。但是电梯行业业内都知道,电梯的核心部 件是电梯控制。该部件的好坏不仅影响电梯的运行质量,还会影响电梯的安全性和 可靠性能。上世纪 80 年代,国内电梯行业基本应用传统的继电器控制方式,电梯故 障率很高。改革开放以来,国外的电梯公司纷纷登陆中国市场,在国内建立了一批 有规模的合资企业,他们带来的技术比较先进。但这些外国公司对电梯控制系统的 技术控制非常严格,国内的中小型企业根本没有能力与他们竞争。总的来说,我国 电梯市场潜力很大,但是国产电梯市场占有率不容乐观,中国电梯企业有待发展。 在现代化城市的高速发展中,一幢幢高楼拔地而起。电梯是楼房里上下运送乘客 或货物的垂直运输设备。我国电梯行业的发展历程,从改革开放到今天,电梯行业 在不知不觉中走过了一个从无到有,从有到多,从多到精的发展历程。随着住宅市 场的巨大变化,中国已经成为全球容量最大、增长最快的电梯市场。目前,我国电 梯保有量已超过 100 万台,且保持每年 20%的递增速度,市场前景乐观。这些电梯 服务于写字间、公寓、商场等各种场所。 自 1889 美国的奥的斯升降机公司推出了世界第一部以直流电动机为动力诞生名 副其实的电梯,从而彻底改写了人类使用升降工具的历史。上世纪 90 年代,随的升 降机着世界经济快速发展及经济全球化, 发达的工业化国家纷纷研制出高速及超高 速电梯,电梯不仅是代步的工具,也是人类文明的标志,其技术的发展正体现了社会 的进步与文明。随着电梯技术的发展,绿色化、低能耗、智能化、网络化、蓝牙技术 的电梯成为一段时间内的发展趋势。 黄山学院本科生毕业论文 5 1. 绪 论 1.1 EDA 技术 1.1.1 EDA 的介绍与发展 EDA 是 Electronic Design Automation(电子设计自动化)的缩写,EDA 技术是 20 世纪 90 年代初以来迅速发展起来的现代电子工程领域的一门新技术1。它以可编 程逻辑器件(PLD)为载体,以计算机为工作平台,以 EDA 工具软件为开发环境, 以硬件描述语言(HDL)作为电子系统功能描述方式,以电子系统设计为应用方向 的电子产品自动化设计过程。当今以数字化和网络化为特征的信息技术革命大潮中, 电子技术获得了飞速发展,现代电子产品渗透到了社会的各个领域。现代电子产品 的性能进一步提高,功能越来越复杂,集成化智能化程度越来越高,更新换代的节 奏越来越快,开发风险也越来越大,而且正向着功能多样化,体积小型化,功耗最 低化的趋势发展。所有这些,都给电子系统设计师们带来了前所未有的压力,面对 这种压力,唯一的出路是熟练掌握 EDA 技术,并获得其的有力支持。EDA 技术的 出现,极大的提高了电路设计的效率和可靠性,减轻了设计者的劳动强度,EDA 是 电子产品开发研制的动力源和加速器,是现代电子设计的核心。随着基于 PLD 的 EDA 技术的发展和应用领域的扩大和深入,它在电子信息,通信工程,自动控制及 计算机应用领域的重要性日益突出。 1.1.2 EDA 的设计流程及优点 EDA 的设计流程如下: (1)根据设计题目要求编写相应程序代码 (2)对编写的 VHDL 程序代码进行编译和仿真 (3)利用实验箱完成硬件验证 (4)总结设计内容,完成课程设计说明书 EDA 技术与传统的电子设计相比,传统的电子设计方法是一种自底向上且费时 费力的设计方法,而现代电子设计技术(EDA)是自顶向下且先进高效的。在电子产品 的设计理念、设计方式、系统硬件构成、设计的重用性、知识产权、设计周期等方 面,EDA 技术具有一定的优势。 1.1.3 VHDL 介绍 VHDL 语言是一种用于电路设计的高级语言。它在 80 年代的后期出现。最初是 由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范 围较小的设计语言 。VHDL 的英文全写是:VHSIC(Very High Speed Integrated 黄山学院本科生毕业论文 6 Circuit)Hardw 语言。因此它的应用主要是应用在数字电路的设计中。目前,它在 中国的应用多数是用在 FPGA/CPLD/EPLD 的设计中。当然在一些实力较为雄厚的 单位,它也被用来设计 ASIC。 但是,由于它在一定程度上满足了当时的设计需求,于是他在 1987 年成为 ANSI/IEEE 的标准(IEEE STD 1076-1987)2。1993 年更进一步修订,变得更加完 备,成为 ANSI/IEEE 的 Aare Descriptiong Language.翻译成中文就是超高速集成电路 硬件描述 NSI/IEEE STD 1076-1993 标准。目前,大多数的 CAD 厂商出品的 EDA 软 件都兼容了这种标准。在 Max+Plus软件平台上有很多种输入方式,特别介绍 VHDL 是因为用 VHDL 语言进行数字逻辑电路和数字系统的设计,是电子电路设计 方法上的一次革命性变革。与传统设计方法相比,VHDL 描述电路行为的算法有很 多优点: (1) 设计层次较高、用于较复杂的计算时,能早发现存在的问题,缩短设计周期。 (2) 独立实现,修改方便,系统硬件描述能力强。 (3) 可读性好,有利于交流,适合于文档保存。 (4) VHDL 语言标准、规范、移植性强。 (5) VHDL 类型多而且支持用户自定义类型,支持自顶而下的设计方法和多种电路 的 设计。 1.2 国内外研究现状及发展趋势 1.2.1 电梯智能化及其实现 随着 EDA 技术的深入发展和 EDA 技术软硬件性能价格比的不断提高, EDA 技术的应用将向广度和深度两个方面发展。根据利用 EDA 技术所开发的产品的最终 主要硬件构成来分,作者认为,EDA 技术的应用发展将表现为如下几种形式: (1) CPLD/FPGA 系统:使用 EDA 技术开发 CPLD/FPGA,使自行开发的 CPLD/FPGA 作为电子系统、控制系统、信息处理系统的主体。 (2) “CPLD/FPGA+MCU”系统:使用 EDA 技术与单片机相接结合,使自行开发 的 CPLD/FPGA+MCU 作为电子系统、控制系统、信息处理系统的主体。 (3) “CPLD/FPGA+专用 DSP 处理器”系统:将 EDA 技术与 DSP 专用处理器配合 使用,使自行开发的“CPLD/FPGA+专用 DSP 处理器”,构成一个数字信号处 理系统的整体。 (4) 基于 FPGA 实现的现代 DSP 系统:基于 SOPC (a System on a Programmable Chip)技术、EDA 技术与 FPGA 技术实现方式的现代 DSP 系统。 (5) 基于 FPGA 实现的 SOC 片上系统:使用超大规模的 FPGA 实现的,内含 1 个或数个嵌入式 CPU 或 DSP,能够实现复杂系统功能的单一芯片系统。 黄山学院本科生毕业论文 7 基于 FPGA 实现的嵌入式系统:使用 CPLD/FPGA 实现的,内含嵌入式处理器, 能满足对象系统要求实现特定功能的,能够嵌入到宿主系统的专用计算机应用系统。 电梯行业内都知道,电梯的核心部件是电梯控制,该部件的好坏不仅影响电梯 的运行质量,还会影响电梯的安全性和可靠性能。对于电梯控制系统来说,智能化 的发展将是电梯行业未来发展的必经之路。将人工智能应用到电梯控制领域是从上 世纪 80 年代开始的,那时人工智能蓬勃发展,专家系统、神经网络、模糊控制等许 多最新的人工智能成果都被应用到电梯群控的派梯算法上,但这些具有智能化派梯 策略的电梯在中国的使用却很晚,使用量并不是很大。这是由多种原因造成的。智 能化电梯群控系统可以大大提高电梯群的派梯效率,减少乘客的候梯时间,降低电 梯的能耗。 对电梯的原有机械电梯部分基本不作改动,包括提升部分、厅门控制部分等等, 只是在原有电梯控制系统之上增加一层接口层,在接口层实现对电梯各种信号的采 集和通过电梯的原有外部输入接口实现对电梯控制信号的输入,在接口层之上实现 电梯群的智能化群控。 1.2.2 接口层的实现 电梯智能化改造的实现与原有电梯的接口部分很重要。与原有系统的接口包括 两个部分,一是电梯的状态的实时采集,二是控制信号的回送。始终强调电梯的改 造最重要的是不可以降低原有系统的安全性。如果原有电梯控制系统仍能满足需要, 应尽量减少对原有电梯控制系统的改变,同时又要能够及时得到电梯的状态变化, 并实现对电梯的调度3。 接口层的实现采用分布式控制技术,在每台电梯的每一楼层的外招板上加装 一块外招接口板,在内招板上加装一块内招接口板,在电梯控制柜中加装一块梯态 接口板,这些接口板上都有一个独立的 CPU 控制着各自的信号采集、发送、接收、 转发,它们通过一条公用总线与信号采集主机相连,信号采集主机负责电梯所有信 号的收集和转发,负责将收集到的电梯信号送达上层的群控主机和实时监控主机, 并转发群控主机和监控主机发出的控制信号。通过各楼层加装的外招接口板采集所 有外招信号,电梯内加装的内招接口板采集电梯的内招信号,电梯的控制柜中加装 的电梯状态接口板采集电梯的目前状态:如口前楼层、运行方向、电梯门状态、电 梯停止等信号,通过串行总线送往群控主机,群控主机根据采集到的信号作派梯选 泽,将派梯信号回送到外招接口板,由外招接口板将信号发送给电梯的外招板,完 成派梯。 黄山学院本科生毕业论文 8 2. 四层电梯控制器的系统设计 电梯的微机化控制主要有以下几种形式:1 PLC 控制;2 单板机控制;3 单片 机控制;4 单微机控制;5 多微机控制;6 人工智能控制;7 EDA 技术。随着 EDA 技术的快速发展,CPLD/FPGA 已广泛应用于电子设计与控制的各个方面。但是本 设计屏弃以前老式的采用 PLC 设计电梯控制器,而是使用 EDA 技术来实现对电梯 的控制的。 2.1 四层电梯控制器的功能及设计要求 电梯控制器是控制电梯按照顾客要求自动上下的装置4。四层电梯控制器的功 能如下: (1) 每层电梯入口处设上下请求开关,电梯内设顾客到达层次的停站请求开关。 (2) 设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。 (3) 电梯每秒上升(下降)一层楼。 (4) 电梯到达有停站请求的楼层,经过 1 秒电梯门打开,开门指示灯亮,开门 4 秒 后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信 号停留在当前层。 (5) 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保 留至执行后消除。 (6) 电梯运行规则当电梯处于上升模式时,只响应比电梯所在位置的上楼请求信 号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请 求,则直接升到由下楼请求的最高楼层,然后进入下降模式。当电梯处于下降 模式则与上升模式相反。 (7) 电梯初始状态为一层开门状态。 采用状态机来实现电梯控制器,思路比较清晰,通过一个统一的 1 秒为周期的 时钟来触发状态机。根据电梯的实际工作情况,可以把状态机设置 10 个状态,分别 是“电梯停留在 1 层” 、 “开门” 、 “关门” 、 “开门等待第 1 秒” 、 “开门等待第二秒” 、 “开门等待第三秒” 、 “开门等待第四秒” 、 “上升” 、 “下降”和“停止”状态。各个 状态之间的转换条件可由上面的设计要求所决定。 黄山学院本科生毕业论文 9 2.2 四层电梯控制器的设计思路 2.2.1 电梯控制器流程 图 2-1 总流程图 当外部按键设备接受到上升、下降和停止请求时,由请求信号寄存器存储。数 据选择器对按键请求进行选择,并将选择的结果发送到状态寄存器中。主控制器中 的软件执行器响应按键请求并发送控制请求给外部硬件,要求其执行按键请求。 主控制器对当前电梯状态判断,显示当前所在楼层并清平层信号。当外部键发 出上升或下降请求时,响应外部请求并显示上升或下降。到达请求楼层后消该层信 号之后主控制器判断有无同相选层信号如果有则消除同相选层信号,之后扫描电梯 位置判断目的层是否到达进行上行控制后下行控制。其过程如下图 2-2 所示。 外部按键设备 状态寄存器 请求信号寄存器 内部软件执行器构 外部硬件执行器构 黄山学院本科生毕业论文 10 Y Y N 图 2-2 电梯运行流程图 Y 停车 初始化 清平层信号 停车 消同向选层信号 消该层信号 显示 上下行判断 扫描电梯位置 有无平层信号 目的层到否 下行控制上行控制 返回 上/下运行 等待请求 目的层到否 有无同向选层信号 有无同相选层信号 N N 黄山学院本科生毕业论文 11 图 2-3 和图 2-4 表示当分别接收到上升请求和下降请求时主控制器对外部的响 应过程。 本论文设计的电梯控制系统的流程图主要有:总流程图5,电梯运行流程图, 上升模式流程图,下降模式流程图和停车门控制流程图,其中总流程图指出了整个 电梯系统各个部分的联系,但是本设计的主要部分为电梯的控制系统,所以对这一 部分不做过多介绍;电梯的运行流程图则明确的指出了控制系统根据电梯当前状态 和外部请求信号做出相应的控制,例如:当电梯在二层楼的时候,接收到第四层楼 的下降请求和第三层楼的上升请求,则电梯会先响应第三层楼的请求,当到达第三 层楼后,在消除这一信号,接着响应第四层楼的请求;而电梯的上升和下降模式流 程图则更加具体的指出了电梯在什么情况下上升,在什么情况下下降,上升过程中 和下降过程中的一些具体情况;门制系统也是很重要的一部分,它形象的表达了电 梯在到达所指定的楼层后所做出的反应及其所等待时间。总之,这五个流程图将电 梯控制系统具体化,有利于后面的设计。 N Y N Y N N Y Y N 初始化 一层开门等待 下降模式 上升请求 到最高层 一层开门等待 Y 上升 N 下降请求 请求 到最低层 请求 到目的层 请求 扫描当前层 下降 停车 上升模式 图 2-3 上升模式 流程图 图 2-4 下降模式 流程图 Y 到目的层 请求 黄山学院本科生毕业论文 12 2.3 电梯控制器的功能 (1) 主控制器的功能 (a) 完成 4 个楼层多用户的载客服务控制。 (b) 电梯运行时显示电梯的运行方向和所在的楼层。 (c) 当电梯到达选择的楼层时,电梯自动开门。 (d) 具有提前关电梯门和延时关电梯门的功能。 (e) 响应分控制器的有效请求,如果到达有请求的楼层,电梯自动开门。 (2) 分控制器的功能是显示电梯的运行状态和所在的楼层,和显示乘客的上升和 下降请求。 (3) 分控制器的有效请求原则: ( a) 电梯处于等待状态时,上升和下降请求都响应。 (b) 电梯处于上升状态时,有上升请求的分控制器所在楼层数大于电梯所在的 楼层数。 (c) 电梯处于下降状态时,有下降请求的分控制器所在楼层数小于电梯所在的 楼层数。 2.4 电梯系统的设计 控制器的功能模块如图 2-6 所示,包括主控制器、分控制器、楼层选择器、状 态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制 器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在的楼层数通 过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控的楼层数。 由于分控制器相对简单很多,所以主控制器是核心部分。 图 2-6 电梯控制器原理框图 状态显示 分控制器 主控制器 译码器 楼层显示 楼层选择 黄山学院本科生毕业论文 13 3. 四层电梯控制器的调试及仿真 3.1 MAX+Plus的流程介绍 (1) 设计准备在对可编程逻辑器件的芯片进行设计之前,首先要进行方案论证、 系 统设计和器件选择等设计准备工作。设计者首先要根据任务要求,如系统 所完成的功能及复杂程度,对工作速度和器件本身的资源、成本及连线的可布 通性等方面进行权衡,选择合适的设计方案。 (2) 设计输入:设计者将所设计的系统或电路以开发软件要求的某种形式表现出来, 并送入计算机的过程称为设计输入。设计输入通常有以下几种方式。 (a) 原理图输入方式 (b) 硬件描述语言输入方式 (c) 波形输入方式 (d) 层次化设计输入方式 (3) 项目编译(设计处理)这是器件设计中的核心环节。在设计处理过程中,编译 软件将对设计输入文件进行逻辑化简、综合和优化,并适当地用一片或多片器 件自动进行适配,最后产生编程用的编程文件。 (4) 仿真和定时分析(项目校验):设计项目的校验包括设计项目的仿真(功能仿 真) 、定时分析两个部分。一个设计项目在编译完成后只能为项目创建一个编 程文件,但并不能保证是否真正达到了用户的设计要求,如逻辑功能和内部时 序要求等。所以在器件编程之前应进行全面模拟检测和仿真调试,以确保其设 计项目在各种可能的情况下正确响应和正常工作,这就是项目校验(仿真调试) 的必要性。MAX+plus提供的设计校验过程包括仿真和定时分析,项目编译 后,为确保设计无误,再用专门软件进行仿真6。如果发现了错误,则对设计 输入进行部分修改直至无误。 3.2 各模块的 VHDL 语言 3.2.1 主控制器模块 中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼层等信 号)进行比较、判断以驱动系统状态的流转。电梯工作过程中共有 9 种状态:等待、 上升、下降、开门、关门、停止、休眠、超载报警以及故障报警状态。一般情况下, 电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。电梯 控制器的系统结构如图 3-1 所示。电梯控制器的输入输出引脚如图 3-2 所示。 黄山学院本科生毕业论文 14 图 3-1 电梯控制器结构 图 3-2 电梯控制器输入输出引脚 主控制器的端口定义和初始状态的程序为: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fourlift is port( buttonclk:in std_logic; -按钮时钟信号 liftclk: in std_logic; -电梯时钟信号 黄山学院本科生毕业论文 15 reset:in std_logic; -复位键 f1upbutton:in std_logic; -一楼上升按钮 f2upbutton:in std_logic; -二楼上升按钮 f3upbutton:in std_logic; -三楼上升按钮 f2dnbutton:in std_logic; -二楼下降按钮 f3dnbutton:in std_logic; -三楼下降按钮 f4dnbutton:in std_logic; -四楼下降按钮 fuplight:buffer std_logic_vector(4 downto 1); -上升指示灯 fdnlight:buffer std_logic_vector(4 downto 1); -下降指示灯 stop1button,stop2button,stop3button, stop4button:in std_logic; -电梯内部按钮 stoplight:buffer std_logic_vector(4 downto 1); -内部停止指示灯 position:buffer integer range 1 to 4; -楼层位置显示 doorlight:out std_logic; -电梯门指示灯 1 开 0关 udsig:buffer std_logic ); -0处于上升模式, 1处于下降模式 end fourlift; architecture art of sixlift is type lift_state is (stopon1,dooropen,doorclose,doorwait4,up,down,stop); -电梯所 处的 7 个状态 signal mylift:lift_state; -内部电梯状态信号 signal clearup:std_logic; -上升清除信号 signal cleardn:std_logic; -下降清除信号 begin controllift:process(reset,liftclk) variable pos:integer range 1 to 4; -楼层位置变量 begin if reset='1' then mylift10 then fre_N=0; clk_tmp=not clk_tmp; else fre_N=fre_N+1; end if; end if; end process; end a; 图 3-5 分频器部分的图形 黄山学院本科生毕业论文 19 4. 四层电梯控制器的波形仿真 在仿真中我们应该作一些符合实际情况的假设,就是外部上升请求的乘客,进入 电梯以后一定是按高层的内部停站按钮,有外部下降请求的乘客,进入电梯以后一 定是按低层的内部停站按钮。而且乘客进入电梯以后必定要按按键。在同一时刻有 很多人按键的概率很小,所以按键一定有先后顺序10。这些假设都是符合实际情况 的。 图 4-1 上升请求 图 4-1 所示仿真的是在 2 层电梯外部有上升请求,也就是 c_u2 产生一个脉冲, 可以看到电梯从一层上升到二层,position 信号由 1 变到 2,doorlight 信号逻辑 1表示开门, 0表示关门。当乘客进入电梯以后,在电梯内部要求上升到第 3 层, 也就是 stop3button 产生一个脉冲,电梯上升到第三层,开门 4 秒以后关门,停留在 3 层,position 最后的值为 3。在仿真的可以看出来 buttonclk 很密集,基本上近似于 一条黑线,是因为采用了频率较高的时钟脉冲。 再看 fuplight 信号灯,当二层有上升请求的嗣后,它的值由 0 变到 2(注意, fuplight 和 fdnlight 是 4 位的二进制的标准逻辑矢量,这里的 2 代表的是“0010”,表 示二层有请求;”1000”也就是 8,表示四层有请求) 。当电梯停到二层以后,表示 该请求被响应,所以它的值变为 0。由于没有下降请求的信号,所以 fdnlight 信号灯 一直都是 0。 黄山学院本科生毕业论文 20 图 4-2 两次停站请求 图 4-2 是有两次停站请求的仿真波形图,符合停站的要求。 图 4-3 下降请求 图 4-3 是有下降请求的情况,因为电梯的初始状态为一层的开门等待状态,所 以在第四层有下降请求的时候,电梯先是上升到第四层,开门 4 秒以后关门,然后 下降,停站请求为第一层,所以到第一层的时候开门 4 秒后关门,停在第一层,等 黄山学院本科生毕业论文 21 待下次请求。我们观察 fdnlight 的变化显示的是 8,转换为二进制就是“1000” ,正 好是第四层的下降指示灯亮,在电梯到第四层响应了下降请求后,下降指示灯就关 闭,显示的就是“0” 。在看电梯位置的变化, “1234321”没有乱序, 说明显示是正确的。 图 4-4 有两站停站请求 图 4-5 同时有上升和下降请求 黄山学院本科生毕业论文 22 图 4-3 有两站停站的请求,显示是正确的,没有混乱的现象。图 4-5 同时有上 升请求和下降请求信号时,电梯的运行没有出现混乱现象。 在电梯控制器的设计中,要考虑到层次的扩展11,今天是四层,明天也许是五 层,甚至更多,但是设计的思路都应该按照设计四层电梯控制器的思路延续下去, 比如说要设计五层的电梯控制器,那么要多的按钮就是 f4upbutton, f5dnbutton, stop5button, fuplight 和 fdnlight 的长度都改为(5 downto 0),position 的范围就是 1 to 5。其次就是要在电梯设计的过程中,因为在设计中涉及到电梯处于每一层的时候的 判断,就要根据实际的情况,写出相应的逻辑关系。例如电梯现在处于第三层的上 升,如果电梯要上升,就不能单单的判断第四层是否有升降请求,还要根据第五层 的请求指令来判断电梯到达第四层是该继续上升还是就停止,或者是转为下降状态, 例如,现在电梯的状态是上升,这个时候的逻辑关系是: fdnlight(4)=1 and fdnlight(5)=1; 那么当电梯运行到第四层的时候就应该继续为 up 状态,先响应第五层的下降请求, 状态变为 down,再转为下降状态,回到第四层再才相应第四层的下降请求。类似的 当电梯上升状态处于第 n 层的时候就要判断比它高的层次的请求,当电梯处于下降模 式时,就要判断比该层低的层的请求。 黄山学院本科生毕业论文 23 结束语 用 VHDL 硬件描述语言的形式来进行数字系统的设计方便灵活,利用 EDA 软 件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成 本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。CPLD 作 为新一代工业控制器,以其高可靠性和技术先进性,在电梯控制中得到广泛应用, 从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前 电梯控制和技术改造的热点之一。另外 CPLD 是一种专门从事逻辑控制的微型计算 机系统。由于 CPLD 具有性能稳定、抗干扰能力强、设计配置灵活等特点,配合 VHDL 语言的突出的优点,因此在工业控制方面得到了广泛应用。相信以后必将受 到世界范围内电子工程设汁人员的广泛关注和普遍欢迎。本文中设计的电梯控制器 利用以 CPLD 为实现载体,以 VHDL 为描述语言实现了电梯的升降舒适感和运行的 可靠性,更相信在以后的智能建筑中得到广泛的应用和推广。 黄山学院本科生毕业论文 24 参考文献 1 赵明富,李立军,石新锋等.EDA 技术基础M.北京大学出版社,2009:247254. 2 孟庆义. 基于 VHDL 语言的硬盘控制器的设计J .电脑知识与技术(学术交流) , 2009 (2) :3246. 3 平均芬.硬件描述语言 VHDL 在数字系统设计中的应用J . 浙江工业大学学报, 2010 (2) :4261. 4 李波,王章瑞,高一波.基于 VHDL 的洗衣机控制器设计J . 仪器仪表用户, 2011(6): 126134 . 5 刘庆全,包金明.多梯群控系统的设计与实现J .实验技术与管理,2009(5) :4558. 6 侯伯亨.HDL 硬件描述语言与数字逻辑电路设计J .西安电子科技大学出版社,2011(7): 3649. 7 刘建国,沈必虎.数字逻辑电路M .北京:科学出版社,2004:125138. 8 马福军.电梯群控技术的研究D .浙江工业大学,2003:98105. 9 武彩霞.电梯群的智能控制D .辽宁工程技术大学,2004:6774. 10Stan Krolikoski.Invited Talk Analog VHDL : A New StandardA;Proceedings 1st International Conference on ASICJ . 2009(5) : 176189. 11D. Comer . Digital logic and State Machine Design M. 2nd ed . Hoboken , NY : John Wiley Sons , 1990:6784. 黄山学院本科生毕业论文 25 致 谢 本课题在选题及研究过程中得到*老师的悉心指导。*老师多次询问 设计进程,并为我指点迷津,帮助我开拓研究思路,精心点拨、热忱鼓励。* 老师一丝不苟的作风,严谨求实的态度,孜孜不倦的授业精神,不但教我如何完成 毕业设计,还教我不怕麻烦,注意细节的做人法则,给以我终生受益无穷之道。对 *老师的感激之情是无法用言语表达的。再就感谢帮助过我的同学,在他们的 帮助下,我才能完成本次设计,他们也同样的鼓励我。最后感谢学校实验室的老师 们,他们提供给我仿真的实验场所。

    注意事项

    本文(基于EDA技术的电梯控制系统设计_毕业设计论文.doc)为本站会员(来看看)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开