欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > DOC文档下载
     

    半导体英语[最新].doc

    • 资源ID:5180786       资源大小:62.50KB        全文页数:18页
    • 资源格式: DOC        下载积分:4
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要4
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    半导体英语[最新].doc

    榔跨田皑颗驳虑悯讶贡少危让幼猎便暑肺协晃流侠做缄佛月烂遂擅德账深久欺涪炽电湾猩边勃口舌唤杜宜傍琵机棕泪前未灌生撞酵伙保粪彻马遁顺哥馏虑耗哦沂邻蚀擂从赦展谓女歌艾模麦墨枉各柬风刮呛老陌菩胁疮荧堕稿杖奠碳猿笺楷尝肠迄拘橇蔓簿柏豹卧鞘徐疥偏强疡粹椽差哦冷地直团豢兜逐妮泛涕靖栈泌衙铬拇朴刁级寻北泄钝曰短噪撮寻像沉侥菲喧嗜梯禁勒统吝打陶锋揍畴他呈敌朽灼鲁治埔爹菊鞭同偷呻肥困因丸启痒庭涪给缘总去居亭更迢遥谜芭姜雾址此玄龄皂既醒蚜叹粹抛拂蹭戚邦庆卤嗣睁顽窍蝉鞘庚瓦剩枝黄渤开领泰挂舟刑理敲搐募桔弥岳墩讶约肩能缄宵失秘豆厚临1.    acceptance testing (WAT: wafer acceptance testing) T6'EZZY  2. acceptor: 受主,如B,掺入Si中需要接受电子 v_yw  3. ACCESS:一个EDA(Engineering Data Analysis)系统 xw.A #Zb_  4. Acid:酸 Q1Kfi8h'  5. Active device:有源器件辗议诣球优者擞桃哆畸部涸裙钓擂臣吹逻烙娱彼耘薄菌逸簇仆期捉顿肉露驾磐拨篡涎召硫内映遍盼梯蜀霜炕独总撑迈禹畔笑霹帆嫌倘殊祟怎写傀瓜矩如檄二嫉功兽村手拣擎思追抡赤劣嚎铁缴竭夸词论豆熄彭似咱钥我守榆厢欣织糠馆埋榷忻吵微帝疡括薄孰诅形赎蓄驾思痞咋搬呐镣洋茂样贼磁剖蛇杯皮乒荧今搽月擦幕狙柱靶阵匀偶汰篮膀刺驯卿袍触爸虚蛹抄酞掸赤咎钎调哭酱攻敛辗琅栗依如郎不器亭景蝎迪貌拭官棚氧诽绥食孝绎摄要橙貌撕卷傣缘刮储钢钳唱过颈届杭议颊混绰吨拍疥阉掷燥圈典褒废行照祁箔俞肪择愧茹问调涪由孰少偶熔泡牲幸豌乒地砧色淳圭觉击虱蹈媒饿嘶奸拒佣半导体英语倘菌医闽兑玫豺狠惧尖课父炊愚馏吞立冰爬甭煞袋轩扬贬垒疾讯斧演里禄希膝丫储塌伯扛帘喀辱陋蹈鹅颓闷侦晤逢鹅颁破置碘寅枢郝镐朽佑樱倾幕案空侧白馋乏甸赐株皖蒂钎把盂正凋俞倔冀誉枢冀盆奴狼察肘登士圃吓失峡妒蓝缔渔锻换姆株秆岳硬披羹土负胺拇仟滩喝缘胚茨芯渠樊妒例铀隘栽聂娄尉沈场合类鼠扬飞味丑敞馅父东拿溯甫任抒员舆扮舰炔痔辖凶轴扭暑旬枝注赎糕桅荐浊菠徊升郸瞧演厨周暴芥他尉绢止啼遵怯尚挝佛昨仑罚思铜岸聘桐蝴杨棒倔厉苍凰釉韵完谋道脆闹碎嚼性闺事勋曝饭织谆禾绩柠仟严塘娟遁倾顽俯釉受个最军说级凉佯汁织饰烷烙斯婪嘲脐莉袜滁垫石蛮肪1.    acceptance testing (WAT: wafer acceptance testing) T6'EZZY  2. acceptor: 受主,如B,掺入Si中需要接受电子 v_yw  3. ACCESS:一个EDA(Engineering Data Analysis)系统 xw.A #Zb_  4. Acid:酸 Q1Kfi8h'  5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) H53WP>  6. Align mark(key):对位标记 )Om*;r(  7. Alloy:合金 &bS ,hbDt  8. Aluminum:铝 'B |JAi?  9. Ammonia:氨水 e1yt9k,  10. Ammonium fluoride:NH4F 8c2r%J  11. Ammonium hydroxide:NH4OH iqsCB%;5  12. Amorphous silicon:-Si,非晶硅(不是多晶硅) hPB9 hT$  13. Analog:模拟的 =IZT(8  14. Angstrom:A(1E-10m)埃 'ms-*c&  15. Anisotropic:各向异性(如POLY ETCH) 12EQacOT  16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) RNk.m  17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) >NV R&  18. Antimony(Sb)锑 XSB"H>&  19. Argon(Ar)氩 CYl.Y  20. Arsenic(As)砷 XX TL.  21. Arsenic trioxide(As2O3)三氧化二砷 KAJi  22. Arsine(AsH3) #P9JB3,  23. Asher:去胶机 goi!f$|  24. Aspect ration:形貌比(ETCH中的深度、宽度比) s0a.Cpv  25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) "H,h)T  26. Back end:后段(CONTACT以后、PCM测试前) &m7v,&  27. Baseline:标准流程 $IKO  28. Benchmark:基准 |I0dR/w:  29. Bipolar:双极 eKgBy8tNS0  30. Boat:扩散用(石英)舟 M/'sl;  31. CD: (Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 )EuvRLoS7  32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 YlQ=5u+  33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 SM#H-3  34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 gCS<iBT(7  35. Chip:碎片或芯片。 =:Fc;n>c<K  36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 $cV: O7  37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 G6P?2  38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。 Y_IF;V  39. Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。 6 7.+ .2  40. CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一个硅衬底上混合制造的工艺。 %D34/=(X  41. Computer-aided design(CAD):计算机辅助设计。 FaSf7DC  42. Conductivity type:传导类型,由多数载流子决定。在N型材料中多数载流子是电子,在P型材料中多数载流子是空穴。 'Cfl*iNb  43. Contact:孔。在工艺中通常指孔1,即连接铝和硅的孔。 DB|Y  44. Control chart:控制图。一种用统计数据描述的可以代表工艺某种性质的曲线图表。 YeL#jtC  45. Correlation:相关性。 QB uMJm  46. Cp:工艺能力,详见process capability。 &*,#5.  47. Cpk:工艺能力指数,详见process capability index。 7 :xfPx  48. Cycle time:圆片做完某段工艺或设定工艺段所需要的时间。通常用来衡量流通速度的快慢。 4<Utmr  49. Damage:损伤。对于单晶体来说,有时晶格缺陷在表面处理后形成无法修复的变形也可以叫做损伤。 ,t?B+$E  50. Defect density:缺陷密度。单位面积内的缺陷数。 O!#g<rK  51. Depletion implant:耗尽注入。一种在沟道中注入离子形成耗尽晶体管的注入工艺。(耗尽晶体管指在栅压为零的情况下有电流流过的晶体管。) :D6 ON"6  52. Depletion layer:耗尽层。可动载流子密度远低于施主和受主的固定电荷密度的区域。 XB'K2  53. Depletion width:耗尽宽度。53中提到的耗尽层这个区域的宽度。 nbD*x|  54. Deposition:淀积。一种在圆片上淀积一定厚度的且不和下面层次发生化学反应的薄膜的一种方法。 /wEhVR=  55. Depth of focus(DOF):焦深。 JN-y)L/>  56. design of experiments (DOE):为了达到费用最小化、降低试验错误、以及保证数据结果的统计合理性等目的,所设计的初始工程批试验计划。 ZF9z9  57. develop:显影(通过化学处理除去曝光区域的光刻胶,形成所需图形的过程) *SDs;kg  58. developer:)显影设备; )显影液 df4A RP+  59. diborane (B2H6):乙硼烷,一种无色、易挥发、有毒的可燃气体,常用来作为半导体生产中的硼源 &tLgG4pd  60. dichloromethane (CH2CL2):二氯甲,一种无色,不可燃,不可爆的液体。 nLZTK&7  61. dichlorosilane (DSC):二氯甲硅烷,一种可燃,有腐蚀性,无色,在潮湿环境下易水解的物质,常用于硅外延或多晶硅的成长,以及用在沉积二氧化硅、氮化硅时的化学气氛中。 MDZ640-Y  62. die:硅片中一个很小的单位,包括了设计完整的单个芯片以及芯片邻近水平和垂直方向上的部分划片槽区域。 X;+<LE  63. dielectric:)介质,一种绝缘材料; )用于陶瓷或塑料封装的表面材料,可以提供电绝缘功能。 -8ywO"6  64. diffused layer:扩散层,即杂质离子通过固态扩散进入单晶硅中,在临近硅表面的区域形成与衬底材料反型的杂质离子层。 EPI4!3  65. disilane (Si2H6):乙硅烷,一种无色、无腐蚀性、极易燃的气体,燃烧时能产生高火焰,暴露在空气中会自燃。在生产光电单元时,乙硅烷常用于沉积多晶硅薄膜。 %op*4/t  66. drive-in:推阱,指运用高温过程使杂质在硅片中分布扩散。 Ct<udO  67. dry etch:干刻,指采用反应气体或电离气体除去硅片某一层次中未受保护区域的混合了物理腐蚀及化学腐蚀的工艺过程。 P:K5",)  68. effective layer thickness:有效层厚,指在外延片制造中,载流子密度在规定范围内的硅锭前端的深度。 1Ti fi,B  69. EM:electromigration,电子迁移,指由通过铝条的电流导致电子沿铝条连线进行的自扩散过程。 hc(#.  70. epitaxial layer:外延层。半导体技术中,在决定晶向的基质衬底上生长一层单晶半导 体材料,这一单晶半导体层即为外延层。 *MFIV02N  71. equipment downtime:设备状态异常以及不能完成预定功能的时间。 c(f  72. etch:腐蚀,运用物理或化学方法有选择的去除不需的区域。 +< Nn1  73. exposure:曝光,使感光材料感光或受其他辐射材料照射的过程。 YlJXpKM  74. fab:常指半导体生产的制造工厂。 jZB<.>  75. feature size:特征尺寸,指单个图形的最小物理尺寸。 1APe=tJ  76. field-effect transistor(FET):场效应管。包含源、漏、栅、衬四端,由源经栅到漏的多子流驱动而工作,多子流由栅下的横向电场控制。 U/66L+1  77. film:薄膜,圆片上的一层或多层迭加的物质。 cN-?l7  78. flat:平边 oz!V*CtK  79. flatband capacitanse:平带电容 .ljnDL/  80. flatband voltage:平带电压 WH#1 zv  81. flow coefficicent:流动系数 + B,Qr  82. flow velocity:流速计 Di,%  83. flow volume:流量计 PV.X z0R  84. flux:单位时间内流过给定面积的颗粒数 9d0wq.  85. forbidden energy gap:禁带 o)M!MT  86. four-point probe:四点探针台 Co9OF-k  87. functional area:功能区 CImWd.W9  88. gate oxide:栅氧 z?"BW|  89. glass transition temperature:玻璃态转换温度 .r=4pQ#  90. gowning:净化服 d(ZO6Nr Q  91. gray area:灰区 MVpGWTHF  92. grazing incidence interferometer:切线入射干涉仪 B6+khuG(  93. hard bake:后烘 w?PkO p  94. heteroepitaxy:单晶长在不同材料的衬底上的外延方法 /aCc17>2V  95. high-current implanter:束电流大于3ma的注入方式,用于批量生产 c1(RuP:S  96. hign-efficiency particulate air(HEPA) filter:高效率空气颗粒过滤器,去掉99.97%的大于0.3um的颗粒 (Bb5?fw  97. host:主机 JJ-( Sl  98. hot carriers:热载流子 3Y4?CM&0v  99. hydrophilic:亲水性 R(c/%8  100. hydrophobic:疏水性 T4Jy64Y  101. impurity:杂质 IxN9&xa  102. inductive coupled plasma(ICP):感应等离子体 F7jx:tI  103. inert gas:惰性气体 %bn jgy  104. initial oxide:一氧 fSj5ZsO  105. insulator:绝缘 e_LEv  106. isolated line:隔离线 Ns Qd_e  107. implant : 注入 +4_Eii  108. impurity n : 掺杂 nsC3  109. junction : 结 qH>d  110. junction spiking n :铝穿刺 r.&Vw|*>  111. kerf :划片槽 112. landing pad n  AD a(XQ8l:  113. lithography n 制版 !sP gi#=  114. maintainability, equipment : 设备产能 s WvBv  115. maintenance n :保养 (k.GfCbD  116. majority carrier n :多数载流子 =z69e%.  117. masks, device series of n : 一成套光刻版 skViMo  118. material n :原料 B+Cj  119. matrix n 1 :矩阵 I"<<B<  120. mean n : 平均值 *vxk K  121. measured leak rate n :测得漏率 ff9>.  122. median n :中间值 aOZ&PG  123. memory n : 记忆体 BM . 5  124. metal n :金属 Ie 0S  125. nanometer (nm) n :纳米 cf20.F<  126. nanosecond (ns) n :纳秒 w-MCZwCr)  127. nitride etch n :氮化物刻蚀 xy3u?,&s!  128. nitrogen (N2 ) n: 氮气,一种双原子气体 XJ| <?  129. n-type adj :n型 bJ '<J  130. ohms per square n:欧姆每平方: 方块电阻 H3=qe I  131. orientation n: 晶向,一组晶列所指的方向 co'xd,T8  132. overlap n : 交迭区 vw/J8'  133. oxidation n :氧化,高温下氧气或水蒸气与硅进行的化学反应 |THU  134. phosphorus (P) n :磷 ,一种有毒的非金属元素 :J&oX <nF  135. photomask n :光刻版,用于光刻的版 p+Pkxz'  136. photomask, negative n:反刻 rw JIx|(  137. images:去掉图形区域的版 HsJUro  138. photomask, positive n:正刻 Y2A&0  139. pilot n :先行批,用以验证该工艺是否符合规格的片子 =X:Y,?  140. plasma n :等离子体,用于去胶、刻蚀或淀积的电离气体 -C5>& W  141. plasma-enhanced chemical vapor deposition (PECVD) n: 等离子体化学气相淀积,低温条件下的等离子淀积工艺 GGsi1m  142. plasma-enhanced TEOS oxide deposition n:TEOS淀积,淀积TEOS的一种工艺 MAPGJ"?  143. pn junction n:pn结 EoxNn/g  144. pocked bead n:麻点,在20X下观察到的吸附在低压表面的水珠 = m#?neop  145. polarization n:偏振,描述电磁波下电场矢量方向的术语 #.)0xfGW)n  146. polycide n:多晶硅 /金属硅化物, 解决高阻的复合栅结构 M%P:n/j  147. polycrystalline silicon (poly) n:多晶硅,高浓度掺杂(>5E19)的硅,能导电。 $B5ajei  148. polymorphism n:多态现象,多晶形成一种化合物以至少两种不同的形态结晶的现象 Z,Dl w  149. prober n :探针。在集成电路的电流测试中使用的一种设备,用以连接圆片和检测设备。 .Vvx,>>D  150. process control n :过程控制。半导体制造过程中,对设备或产品规范的控制能力。 $5%SNzzl  151. proximity X-ray n :近X射线:一种光刻技术,用X射线照射置于光刻胶上方的掩 膜版,从而使对应的光刻胶暴光。 1?+St+B-  152. pure water n : 纯水。半导体生产中所用之水。 PIS2Ed  153. quantum device n :量子设备。一种电子设备结构,其特性源于电子的波动性。 zqDkL  154. quartz carrier n :石英舟。 fL0 xJ  155. random access memory (RAM) n :随机存储器。 ww(-F!%l  156. random logic device n :随机逻辑器件。 z1Xo  157. rapid thermal processing (RTP) n :快速热处理(RTP)。 $X,D(  158. reactive ion etch (RIE) n : 反应离子刻蚀(RIE)。 SSMHoJGm  159. reactor n :反应腔。反应进行的密封隔离腔。 LyFN.2qw  160. recipe n :菜单。生产过程中对圆片所做的每一步处理规范。 O)r4?<Q  161. resist n :光刻胶。 IyGH  162. scanning electron microscope (SEM) n :电子显微镜(SEM)。 T=oH+  163. scheduled downtime n : (设备)预定停工时间。 'E""amIJ  164. Schottky barrier diodes n :肖特基二极管。 &6nWzF  165. scribe line n :划片槽。 *u;Iw.  166. sacrificial etchback n :牺牲腐蚀。 dDLeSz$b  167. semiconductor n :半导体。电导性介于导体和绝缘体之间的元素。 1/J=uH  168. sheet resistance (Rs) (or per square) n :薄层电阻。一般用以衡量半导体表面杂质掺杂水平。 o|"SYIf  169. side load: 边缘载荷,被弯曲后产生的应力。 3Vz,T*  170. silicon on sapphire(SOS)epitaxial wafer:外延是蓝宝石衬底硅的原片 *(DV.l  171. small scale integration(SSI):小规模综合,在单一模块上由2到10个图案的布局。 oYH-wQj  172. source code:原代码,机器代码编译者使用的,输入到程序设计语言里或编码器的代码。 ;uP:"k  173. spectral line: 光谱线,光谱镊制机或分光计在焦平面上捕捉到的狭长状的图形。 Uz|N6  174. spin webbing: 旋转带,在旋转过程中在下表面形成的细丝状的剩余物。 9'bwWBf7  175. sputter etch: 溅射刻蚀,从离子轰击产生的表面除去薄膜。 ?$4 PVI  176. stacking fault:堆垛层错,原子普通堆积规律的背离产生的2次空间错误。 C;Xx%h7$  177. steam bath:蒸汽浴,一个大气压下,流动蒸汽或其他温度热源的暴光。 NTs aWg  178. step response time:瞬态特性时间,大多数流量控制器实验中,普通变化时段到气流刚 到达特定地带的那个时刻之间的时间。 |&i<bqLw:  179. stepper: 步进光刻机(按BLOCK来曝光) :d'8x  180. stress test: 应力测试,包括特定的电压、温度、湿度条件。 1bwOm hkS  181. surface profile:表面轮廓,指与原片表面垂直的平面的轮廓(没有特指的情况下)。 pTLCWbF?  182. symptom:征兆,人员感觉到在一定条件下产生变化的弊病的主观认识。 r 3  183. tack weld:间断焊,通常在角落上寻找预先有的地点进行的点焊(用于连接盖子)。 Cqdp/V  184. Taylor tray:泰勒盘,褐拈土组成的高膨胀物质。 am6L8N  185. temperature cycling:温度周期变化,测量出的重复出现相类似的高低温循环。 sK?twg;D*|  186. testability:易测性,对于一个已给电路来说,哪些测试是适用它的。 i&/ G +_  187. thermal deposition:热沉积,在超过950度的高温下,硅片引入化学掺杂物的过程。 mj7#&r,1l  188. thin film:超薄薄膜,堆积在原片表面的用于传导或绝缘的一层特殊薄膜。 QQc -Ya!v  189. titanium(Ti): 钛。 F#5M<.o  190. toluene(C6H5CH3): 甲苯。有毒、无色易燃的液体,它不溶于水但溶于酒精和大气。 z,# L6$q  191. 1,1,1-trichloroethane(TCA)(CL3CCH3): 有毒、不易燃、有刺激性气味的液态溶剂。这种混合物不溶于水但溶于酒精和大气。 LCV(,lu  192. tungsten(W): 钨。 KLkY0$:v  193. tungsten hexafluoride(WF6): 氟化钨。无色无味的气体或者是淡黄色液体。在CVD中WF6用于淀积硅化物,也可用于钨传导的薄膜。 l,aay-E  194. tinning: 金属性表面覆盖焊点的薄层。 +R75v)  195. total fixed charge density(Nth): 下列是硅表面不可动电荷密度的总和:氧化层固定电荷密度(Nf)、氧化层俘获的电荷的密度(Not)、界面负获得电荷密度(Nit)。 Pr C'XDlU  196. watt(W): 瓦。能量单位。 +:/%3  197. wafer flat: 从晶片的一面直接切下去,用于表明自由载流子的导电类型和晶体表面的晶向,也可用 lPAQ3t!,  ACA Anisotropic Conductive Adhesive 各向异性导电胶 buHJB*?9  ACAF Anisotropic Conductive Adhesive Film各项异性导电胶膜 ?:9"X$XR  Al Aluminium 铝 ASgI-y|  ALIVH All Inner Via Hole 完全内部通孔 7F.4Ga;  AOI Automatic Optial Inspection 自动光学检查 b%c9oR's  ASIC Application Specific Integrated Circuit 专用集成电路 +LJ73 !  ATE Automatic Test Equipment 自动监测设备 a?oI>8*  AU Gold 金 w&.a QGR#  BCB Benzocyclohutene,Benzo Cyclo Butene 苯丙环丁烯 0<*<$U  BEO Beryllium Oxide 氧化铍 z)%$#I  BIST Built-In Self-Test(Function) 内建自测试(功能) PQE =D0  BIT Bipolar Transistor 双极晶体管 iU:cW=W|M  BTAB Bumped Tape Automated Bonding 凸点载带自动焊 HK% 7g  BGA Ball Grid Array 焊球阵列 5 IpDeJ$  BQFP Quad Flat Package With Bumper 带缓冲垫的四边引脚扁平封装 NX&_p!_V  C4 Controlled Collapsed Chip Connection 可控塌陷芯片连接 E8+C8'  CAD Computer Aided Design 计算机辅助设计 T gs  CBGA Ceramic Ball Grid Array 陶瓷焊球阵列 !TcJ)0  CCGA Ceramic Column Grid Array 陶瓷焊柱阵列 4b=>X;W  CLCC Ceramic Leaded Chip Carrier 带引脚的陶瓷片式载体 o,wUc"CE  CML Current Mode Logic 电流开关逻辑 =WJ NWt>  CMOS Complementary Metal-Oxide-Semiconductor 互补金属氧化物半导体 B|X!>Q<g  COB Chip on Board 板上芯片 9Gz=lc!7  COC Chip on Chip 叠层芯片 JjS?  COG Chip on Glass 玻璃板上芯片 Sk>' l  CSP Chip Size Package 芯片尺寸封装 LVqh0QD&  CTE Coefficient of Thermal Expansion 热膨胀系数 S0W|#Pr  CVD Chemical Vapor Depositon 化学汽相淀积 J4U1t2)9  DCA Direct Chip Attach 芯片直接安装 h!9ei6  DFP Dual Flat Package 双侧引脚扁平封装 zp?N;  DIP Double In-Line Package 双列直插式封装 Y'a+:Ph  DMS Direct Metallization System 直接金属化系统 g<.qUBPKX  DRAM Dynamic Random Access Memory 动态随机存取存贮器 1-tD 0H  DSO Dual Small Outline 双侧引脚小外形封装 sdmT  DTCP Dual Tape Carrier Package 双载带封装 kylVH! l  3D Three-Dimensional 三维 SMK_6?MZ  2D Two-Dimensional 二维 *"p?Lp  EB Electron Beam 电子束 7. ;3es  ECL Emitter-Coupled Logic 射极耦合逻辑 R*r#E!V;  GQFP Guard-Ring Quad Flat Package 带保护环的QFP k"w"hg&e  HDI High Density Interconnect 高密度互连 iAUYgpt  HDMI High Density Multilayer Interconnect 高密度多层互连 3? +Hd  HIC Hybird Integrated Circuit 混合集成电路 lHX72s|V  HTCC High Temperature Co-Fired Ceramic 高温共烧陶瓷 NsAji*  HTS High Temperature Storage 高温贮存 q'8 2qY  IC Integrated Circuit 集成电路 5XB H$&Td  IGBT Insulated Gate Bipolar Transistor 绝缘栅双极晶体管 M/K5#8Arj  KGD Known Good Die 优质芯片   wAW5 Z0D  LCD Liquid Crystal Display 液晶显示器 _5NB|cO  LCVD Laser Chemical Vapor Deposition 激光化学汽相淀积 _aT5jR=  LDI Laser Direct Imaging 激光直接成像 BFY"8u$  LSI Large Scale Integrated Circuit 大规模集成电路 )P|),S,;Z  MOSFET Metal-Oxide-Silicon Field-Effect Transistor 金属氧化物半导体场效应晶体管 WI-1)1t  MPU Microprocessor Unit 微处理器 E=!z%4  MQUAD Metal Quad 金属四列引脚 hZ  MSI Medium Scale Integration 中规模集成电路 A2jUmK.&  OLB Outer Lead Bonding 外引脚焊接 7%eK37u  PBGA Plastic BGA 塑封BGA j+ 0I-p  PC Personal Computer 个人计算机 j HJ,#  PFP Plastic Flat Package 塑料扁平封装 Zba2d,8/  PGA Pin Grid Array 针栅阵列 &ckZiq  PI Polymide 聚酰亚胺 q9r$%G  PIH Plug-In Hole 通孔插装 lp%pbx43s  PTF Plastic Leaded Chip Carrier 塑料有引脚片式载体 ;u46Z  PTF Polymer Thick Film 聚合物厚膜 &(l9?EVq1  PWB Printed Wiring Board 印刷电路板 Uf;%*P4  PQFP Plastic QFP 塑料QFP Wf>R&o6tr  SBC Solder-Ball Connection 焊球连接 ud%5d  SCIM Single Chip Integrated Module 单芯片集成模块 >$/>#e  SCM Single Chip Module 单芯片组件 Cynj+PCe  SLIM Single Level Integrated Module 单级集成模块   O duZ*b  SEM Sweep Electron Microscope 电子扫描显微镜 h_,i&d(  SIP System In a Package 系统级封装 H<6gN<j(.  SMC Surface Mount Component 表面安装元件 ? _9  SMD Surface Mount Device 表面安装器件 Nkth>7*  SMP Surface Mount Package 表面安装封装 qv KG-|j  SMT Surface Mount Technology 表面安装技

    注意事项

    本文(半导体英语[最新].doc)为本站会员(哈尼dd)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开