欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > PDF文档下载
     

    EDA课程设计八位数码管扫描显示电路的设计资料.pdf

    • 资源ID:5196787       资源大小:2.05MB        全文页数:20页
    • 资源格式: PDF        下载积分:4
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要4
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    EDA课程设计八位数码管扫描显示电路的设计资料.pdf

    - 1 - EDA 技术及应用 课程设计报告 题目: 八位数码管扫描显示电路的设计 院 (系) :机电与自动化学院 专业班级:电气自动化技术1001 学生姓名: 学号: 20102822018 指导教师:何为 2012 年 6 月 10 日至 2012 年 6 月 23 日 - 2 - EDA 技术及应用课程设计任务书 一、设计题目 八位数码管扫描显示电路的设计 二、设计主要内容 本课题要求掌握使用Quartus II 设计数字系统的设计思路和设计方法。学习 VHDL 基本逻辑电路的综合设计应用。掌握VHDL 语言的语法规范,掌握时序电 路描述方法。掌握多个数码管动态扫描显示的原理及设计方法。 设计一个八位数码管共阴极动态扫描显示控制电路,要求显示学生自己的学 号。利用实验室设备完成系统设计并进行运行调试。 1、具体设计内容如下: (1)静止显示学号; (2)动态循环显示学号。 2、提供设计报告,报告要求包括以下内容:设计思路、设计输入文件、设计 与调试过程、模拟仿真结果和设计结论。 三、原始资料 1、LED显示模块原理 LED有段码和位码之分,所谓段码就是让LED显示出“ 8. ”的八位数据,一般 情况下要通过一个译码电路, 将输入的 4 位 2 进制数转换为与 LED显示对应的 8 位 段码。位码也就是 LED的显示使能端,对于共阳级的LED而言,高电平使能。要让 8 个 LED同时工作,显示数据,就是要不停的循环扫描每一个LED ,并在使能每一 个 LED的同时,输入所需显示的数据对应的8 位段码。虽然 8 个 LED是依次显示, 但是受视觉分辨率的影响,看到的现象是8 个 LED同时工作。 多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信 号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现 象,只要扫描的频率大于50Hz ,将看不到闪烁现象。 2、系统结构图信号名与芯片引脚对照表 - 3 - 硬件资源元件引脚EP3C 引脚序号电路使用说明 LED 数码显示 A 133 该部分电路为固定 电路。 使用 LED数码显示 时请按照器件引脚 分配表进行引脚分 配后再下载到芯片 中。 B 135 C 136 D 137 E 138 F 141 G 142 Dp 128 74LS138 S1 125 S2 126 S3 127 3、LED连接图 4、课程设计使用设备 (1)EDA 及 SOPC综合实验平台; (2)导线若干; (3)PC机; (4)Quartus II开发工具软件。 - 4 - 四、要求的设计成果 (1)根据控制要求设计硬件电路原理图。 (2)编写用于系统仿真的VHDL 源程序。 (3)系统仿真及验证结果。 (4)编写 EDA 技术及应用课程设计报告,课程设计报告内容包括: 设计方案、课程设计过程和设计思想、方法、原理。 画出系统的原理图。 VHDL 语言程序及仿真波形。 参考资料、参考书及参考手册。 其他需要说明的问题,例如操作说明、程序的调试过程、遇到的问题及解 决方法、对课程设计的认识和建议等。 可编程控制器技术课程设计报告可以手写,也可以用电脑编排打印, 报告格式按照华中科技大学武昌分校课程设计管理办法执行。课程设计报告要 求内容正确完整,图表清晰,叙述简明,语句通顺,字数不得少于2000 汉字。 课程设计报告按封面、任务书、设计说明书、图纸、实物照片贴页(实物照 片贴在 A4复印纸上) 、成绩评定表的顺序装订。 - 5 - 五、进程安排 内容时间 下达课程设计任务书。讲解课程设计的任务与要求、 进度安排、指导时间、注意事项、提供参考资料。学生到 实验室熟悉设备。 1 天 搜集资料、方案论证、初步设计。1 天 设计 VHDL 语言程序。2 天 在实验装置上进行硬件测试。3 天 方案优化、总结完善、整理资料、撰写课程设计报告。2 天 答辩、课程设计总结。1 天 共计10 天(2 周) 六、主要参考资料 1 郑燕,赫建国 . 基于 VHDL 与 Quartus II 软件的可编程逻辑器件应用于开发.北 京:国防工业出版社, 2011. 2 Altera. Pin Information for the Cyclone III EP3C10 Device. San Jos é:Altera Corp, 2008. 3 潘松,黄继业 .EDA 技术实用教程 VHDL 版.北京:科学出版社, 2010. 指导教师(签名): 20 年月日 - 6 - 目录 1. 课程设计题目及要求 .7 1.1 课程设计题目 7 1.2 课程设计要求 7 1.3 系统总体方案设计 7 2. LED 的工作原理 .8 2.1 LED 工作原理 8 2.2 LED 动态扫描显示原理 9 3. 系统设计 .9 3.1 硬件电路设计 9 3.2 VHDL 代码设计 .9 4. 运行调试 ,13 4.1 时序仿真 .13 4.2 硬件逻辑验证 ,15 4.3 调试结果分析 .16 4.4 调试中出现的问题及解决方法.16 5 总结,16 6. 参考文献 .17 7. 附录 1 系统硬件电路图 18 附录 2 实物照片19 - 7 - 1. 课程设计题目及要求 1.1 课程设计题目 八位数码管静态扫描显示电路的设计 1.2 课程设计要求 本课题要求掌握使用Quartus II 设计数字系统的设计思路和设计方法。学习 VHDL 基本逻辑电路的综合设计应用。掌握VHDL 语言的语法规范,掌握时序 电路描述方法。掌握多个数码管动态扫描显示的原理及设计方法。 设计一个八位数码管共阴极动态扫描显示控制电路,要求显示学生自己的学 号。利用实验室设备完成系统设计并进行运行调试。 1.3 系统总体方案设计 设计流程图如下: 生成 VHDL 源程序 VHDL 逻辑综合优化 自动优化布局布线适 配 编程、下载 - 8 - 首先,我们要对所要设计的八位数码管静态扫描显示电路充分理解,同时在 了解了所给的硬件器材的基础上需进行“源程序的编辑和编译” 用一定的逻 辑表达手段将设计表达出来; 其次要进行 “逻辑综合” 将用一定的逻辑表达 手段表达出来的设计, 经过一系列的操作, 分解成一系列的基本逻辑电路及对应 关系;然后要进行 “目标器件的布线适配” 在选定的目标器件中建立这些 基本逻辑电路及对应关系; 最后,目标器件的编程下载将前面的软件设计经 过编程变成具体的设计系统, 同时在设计过程中要进行有关“仿真”模拟有 关设计结果,看是否与设计构想相符。 系统结构框图如下: 硬件资源元件引脚EP3C引脚序号电路使用说明 LED 数码显示 A 133 该部分电路为固定 电路。 使用 LED数码显示 时请按照器件引脚 分配表进行引脚分 配后再下载到芯片 中。 B 135 C 136 D 137 E 138 F 141 G 142 Dp 128 74LS138 S1 125 S2 126 S3 127 2. LED 的工作原理 2.1 LED 工作原理 LED为分段式半导体显示器,通常称为七段发光二极管显示器。下图为七段 发光二极管显示器共阴极和共阳极的电路图。对共阴极显示器的公共端应接地, 给 a-g 输入相应高电平, 对应字段的发光二极管显示十进制数;对共阳极的公共 端应接 +5V电源,给 a-g 输入端相应低电平,对应字段的发光二极管也显示十进 制数。 - 9 - 2.2 LED 动态扫描显示原理 LED有段码和位码之分,所谓段码就是让LED显示出“ 8. ”的八位数据,一 般情况下要通过一个译码电路, 将输入的 4 位 2 进制数转换为与 LED显示对应的 8 位段码。位码也就是 LED的显示使能端, 对于共阳级的 LED而言,高电平使能。 要让 8 个 LED同时工作,显示数据,就是要不停的循环扫描每一个LED ,并在使 能每一个 LED的同时,输入所需显示的数据对应的8 位段码。虽然 8 个 LED是依 次显示,但是受视觉分辨率的影响,看到的现象是8 个 LED同时工作。 多个数码管动态扫描显示, 是将所有数码管的相同段并联在一起,通过选通 信号分时控制各个数码管的公共端,循环点亮多个数码管, 并利用人眼的视觉暂 留现象,只要扫描的频率大于50Hz ,将看不到闪烁现象。 - 10 - 3 系统设计 3.1 硬件电路设计 3.2 VHDL 程序设计 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_signed.all; entity scan_seg8 is port(clk3,clk5:in std_logic; rst: in std_logic; seg_da:out std_logic_vector(7 downto 0); seg_sel:out std_logic_vector(2 downto 0) ); end scan_seg8; architecture ado of scan_seg8 is signal seg_buf1,seg_buf2,seg_buf3,seg_buf4,seg_buf0:std_logic_vector(3 downto 0); - 11 - signal seg_buf5,seg_buf6,seg_buf7,seg_buf8:std_logic_vector(3 downto 0); signal seg_cnt:std_logic_vector(2 downto 0); signal seg_temp:std_logic_vector(3 downto 0); signal clk:std_logic; begin process(clk5) begin if clk5'event and clk5='1' then clk seg_temp seg_temp seg_temp seg_temp seg_temp seg_temp seg_temp seg_temp seg_temp seg_da seg_da seg_da seg_da seg_da seg_da seg_da seg_da seg_da seg_da seg_da seg_da seg_da seg_da seg_da seg_da null; end case; end process; end architecture ado; 4 运行调试 4.1 时序仿真 (1) 建立波形文件。 选择 File 项及其 New,再选择 New 窗中的 Waveform Editer项,打开波形编辑窗。 (2)输入信号节点。在波形编辑窗的上方选择Node 项,在下拉菜单中选 择输入信号, 在弹出的窗口中首先点击List 键,这时左窗口将列出设计所以信号 节点。由于设计者有时只需要观察其中部分信号的波形,因此要利用中间的 “=” 键将需要观察的信号选到右栏中,然后点击OK 键即可将测试信号。 (3)设置波形参量。波形编辑窗中已经调入了所有节点信号,在为编辑窗 输出信号测试前,首先设定相关的仿真参数。 (4)设定仿真时间宽度。选择File 项及其 End time 选项,在 End time 选择 窗中选择适当的仿真时间域,以便有足够长的观察时间。 (5)波形文件存盘。选择File 项及其 Save as选项,按 OK 键即可。 (6) 运行仿真器,观察分析波形。选中 “processing ” 菜单下的“start siulation” , 直到出现“ simulation was successful”对话框。把所有的参数都设定好了之后, 就可以观察相关的波形了。 - 14 - - 15 - 4.2 硬件逻辑验证 (1)确认已经打开了工程scan_seg8。 (2)打开“ assignments ”菜单下的“ pins ”命令,打开引脚锁定窗口。 (3)用鼠标双击“ to ”栏中的“ ” ,再出现的下拉栏中选择本工程要 锁定的端口信号名 (例如 clk ) ,然后双击对应的 “location”栏中的“ ” , 在出现的下拉栏中选择对应端口信号名的器件引脚(例如对应 clk ,应选择 29) 。 (4)按前面提到的引脚信息添加锁定引脚,全部输入后单击工具栏上的保存 按钮,保存引脚设置。当冒个引脚锁定后,我们在“to ”栏下看到该引脚将是斜 体显示的,其他未锁定引脚则是正体显示。保持完毕,必须再编译适配一次,才 能将引脚锁定到最终的下载文件中, 此后就可以将编译好的sof 文件下载到实验 系统的 FPGA 中去了。 - 16 - 4.3 调试结果分析 将生成的 sof 文件通过下载线下载到实验箱中,进行相关的调试后正确显 示了自己的学号。比如我的学号为20102822018,8 位数码管显示的内容应为 20102822,018xxxxx 。那么实验就已经成功了。 4.4 调试中出现的问题及解决方法 由于是第一次做这种课程设计。所以,难免会遇到一些问题。在将程序下载 到试验箱上时, 没有能如期望那样显示自己的学号。然后,我又从程序出发检查 了一遍,发现没有错误,然后我又进行了一次功能仿真发现波形图也没有问题。 所以,我就可以大胆的排除程序错误而导致的结果。既然程序没有错误, 想必应 该是在传输程序的这个环节出了问题。我又从选择的芯片入手, 发现芯片选择没 有问题,而且各项参数设置也没有问题。 我开始怀疑是不是芯片上的管脚设置上 出现了问题。 于是,再请教了几位同学之后我发现果然管脚设置上出了问题,当 我将错误的地方修改过后终于在硬件电路上看到了自己期望的结果。 5 总结 通过此次实验我们进一步掌握QUARTUS II的的使用方法以及使用的各种规 章流程。同时,通过此次实验也加强了我对于VHDL 这门语言的更深刻的认识, 让我从感性上感知到了VHDL 语言的独特之处。以前在课堂上总是听老说VHDL 语 言与其他语言的不同就是VHDL 是面向硬件的语言,它是会占硬件电路大小的, 之前我还是没能体会到这句话的含义。当通过这次试验后, 我终于体会到了这句 话的含义。 VHDL是 EDA技术的重要组成部分,其具有与具体硬件电路无关和与设计平 台无关的特性, 并且具有良好的电路行为描述和系统描述的能力,并在语言易读 性和层次化,结构化设计方面,表现了强大的生命力和应用潜力。 通过这次实验不仅让我专业知识得到了提高,同时也让我自身的一些不良习 惯,像马虎,没有耐心等得到改善。在设计时我们共同讨论,互相学习,互相借 鉴,让我们同学之间,师生之间关系变得更融洽! - 17 - 6. 参考文献 【1】 郑燕,赫建国 . 基于 VHDL 与 Quartus II 软件的可编程逻辑器件应用于开 发.北京:国防工业出版社, 2011. 【 2 】 Altera. Pin Information for the Cyclone III EP3C10 Device.San jose:AlteraCorp,2008. 【3】潘松,黄继业 .EDA 技术实用教程 VHDL 版.北京:科学出版社, 2010. - 18 - 6. 附录 1 系统硬件电路图 - 19 - 附录 2 实物照片 - 20 - 课程设计成绩评定表 成 绩 评 定 项目比例得分 平时成绩(百分制记分)30% 业务考核成绩(百分制记分)70% 总评成绩(百分制记分)100% 评定等级优良中及格不及格 指导教师(签名): 20 年月日

    注意事项

    本文(EDA课程设计八位数码管扫描显示电路的设计资料.pdf)为本站会员(tbuqq)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开