欢迎来到三一文库! | 帮助中心 三一文库31doc.com 一个上传文档投稿赚钱的网站
三一文库
全部分类
  • 研究报告>
  • 工作总结>
  • 合同范本>
  • 心得体会>
  • 工作报告>
  • 党团相关>
  • 幼儿/小学教育>
  • 高等教育>
  • 经济/贸易/财会>
  • 建筑/环境>
  • 金融/证券>
  • 医学/心理学>
  • ImageVerifierCode 换一换
    首页 三一文库 > 资源分类 > PDF文档下载
     

    微电子笔试(笔试和面试题)要点.pdf

    • 资源ID:5208376       资源大小:218.09KB        全文页数:33页
    • 资源格式: PDF        下载积分:6
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录   微博登录  
    二维码
    微信扫一扫登录
    下载资源需要6
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    微电子笔试(笔试和面试题)要点.pdf

    第一部分: 基础篇 (该部分共有试题8 题,为必答题,每位应聘者按自己对问题的理解去回答,尽可能多回答你所知道的内容。若不清楚就写 不清楚)。 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路相关的内容(如讲清楚模拟、数字、 双极型、 CMOS、MCU 、RISC、CISC、DSP、ASIC 、FPGA 等的概念)。 数字集成电路 是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。 模拟信号 ,是指幅度随时间连续变化的信号。例如,人对着话筒讲话,话筒输出的音频电信号就是模拟信号,收音机、收录 机、音响设备及电视机中接收、放大的音频信号、电视信号,也是模拟信号。 数字信号 ,是指在时间上和幅度上离散取值的信号,例如,电报电码信号,按一下电键,产生一个电信号,而产生的电信号 是不连续的。 这种不连续的电信号,一般叫做电脉冲或脉冲信号,计算机中运行的信号是脉冲信号,但这些脉冲信号均代表 着确切的数字,因而又叫做数字信号。在电子技术中,通常又把模拟信号以外的非连续变化的信号,统称为数字信号。 FPGA 是英文 Field Programmable Gate Array 的缩写,即现场可编程门阵列,它是在PAL、GAL 、EPLD 等可编程器件 的基础上进一步发展的产物。它是作为专用集成电路(ASIC )领域中的一种半定制电路而出现的,既解决了定制电路的不 足,又克服了原有可编程器件门电路数有限的缺点。 2、你认为你从事研发工作 有哪些特点? 3、基尔霍夫定理 的内容是什么? 基尔霍夫电流定律: 流入一个节点的电流总和等于流出节点的电流总和。 基尔霍夫电压定律: 环路电压的总和为零。 欧姆定律 : 电阻两端的电压等于电阻阻值和流过电阻的电流的乘积。 4、描述你对 集成电路设计流程的认识。 5、描述你对 集成电路工艺的认识。 把电路所需要的晶体管、二极管、 电阻器和电容器等元件用一定工艺方式制作在一小块硅片、玻璃或陶瓷 衬底上, 再用适当 的工艺进行 互连 ,然后封装在 一个管壳 内,使整个电路的体积大大缩小,引出线和焊接点的数目也大为减少。集成的设想出 现在 50 年代末和 60 年代初,是采用硅平面技术和薄膜与厚膜技术来实现的。 电子集成技术按工艺方法分为以硅平面工艺为基础的单片集成电路、以薄膜技术为基础的薄膜集成电路和以丝网印刷技 术为基础的厚膜集成电路。 单片集成电路工艺利用研磨、抛光、氧化、扩散、光刻、外延生长、蒸发等一整套平面工艺技术,在一小块硅单晶 片上同时制造晶体管、二极管、 电阻和电容等元件,并且采用一定的隔离技术使各元件在电性能上互相隔离。然后在硅片表 面蒸发铝层并用光刻技术刻蚀成互连图形,使元件按需要互连成完整电路,制成半导体单片集成电路。随着单片集成电路从 小、中规模发展到大规模、超大规模集成电路,平面工艺技术也随之得到发展。例如,扩散掺杂改用离子注入掺杂工艺;紫 外光常规光刻发展到一整套微细加工技术,如采用电子束曝光制版、等离子刻蚀、反应离子铣等; 外延生长又采用超高真空 分子束外延技术; 采用化学汽相淀积工艺制造多晶硅、二氧化硅和表面钝化薄膜;互连细线除采用铝或金以外,还采用了化 学汽相淀积重掺杂多晶硅薄膜和贵金属硅化物薄膜,以及多层互连结构等工艺。 薄膜集成电路工艺整个电路的晶体管、二极管、电阻、电容和电感等元件及其间的互连线,全部用厚度在1 微米以下 的金属、半导体、金属氧化物、多种金属混合相、合金或绝缘介质薄膜,并通过真空蒸发工艺、溅射工艺和电镀等工艺重叠 构成。用这种工艺制成的集成电路称薄膜集成电路。 薄膜集成电路中的晶体管采用薄膜工艺制作, 它的材料结构有两种形式:薄膜场效应硫化镉和硒化镉晶体管,还可采 用碲、铟、砷、氧化镍等材料制作晶体管;薄膜热电子放大器。薄膜晶体管的可靠性差,无法与硅平面工艺制作的晶体管 相比,因而完全由薄膜构成的电路尚无普遍的实用价值。 实际应用的薄膜集成电路均采用混合工艺,也就是用薄膜技术在玻璃、微晶玻璃、 镀釉或抛光氧化铝陶瓷基片上制备无 源元件和电路元件间的互连线,再将集成电路、 晶体管、二极管等有源器件的芯片和不便用薄膜工艺制作的功率电阻、大电 容值的电容器、电感等元件用热压焊接、超声焊接、梁式引线或凸点倒装焊接等方式组装成一块完整电路。 厚膜集成电路工艺用丝网印刷工艺将电阻、介质和导体涂料淀积在氧化铝、氧化铍陶瓷或碳化硅衬底上。淀积过程 是使用一细目丝网,制作各种膜的图案。这种图案用照相方法制成,凡是不淀积涂料的地方,均用乳胶阻住网孔。氧化铝基 片经过清洗后印刷导电涂料,制成内连接线、电阻终端焊接区、芯片粘附区、电容器的底电极和导体膜。制件经干燥后,在 750950间的温度焙烧成形,挥发掉胶合剂,烧结导体材料,随后用印刷和烧成工艺制出电阻、电容、跨接、绝缘体和 色封层。有源器件用低共熔焊、再流焊、低熔点凸点倒装焊或梁式引线等工艺制作,然后装在烧好的基片上,焊上引线便制 成厚膜电路。厚膜电路的膜层厚度一般为740 微米。用厚膜工艺制备多层布线的工艺比较方便,多层工艺相容性好,可 以大大提高二次集成的组装密度。此外,等离子喷涂、 火焰喷涂、 印贴工艺等都是新的厚膜工艺技术。与薄膜集成电路相仿, 厚膜集成电路由于厚膜晶体管尚不能实用,实际上也是采用混合工艺。 单片集成电路和薄膜与厚膜集成电路这三种工艺方式各有特点,可以互相补充。 通用电路和标准电路的数量大,可采用 单片集成电路。 需要量少的或是非标准电路,一般选用混合工艺方式,也就是采用标准化的单片集成电路,加上有源和无源 元件的混合集成电路。厚膜、薄膜集成电路在某些应用中是互相交叉的。厚膜工艺所用工艺设备比较简易,电路设计灵活, 生产周期短,散热良好,所以在高压、大功率和无源元件公差要求不太苛刻的电路中使用较为广泛。另外,由于厚膜电路在 工艺制造上容易实现多层布线,在超出单片集成电路能力所及的较复杂的应用方面,可将大规模集成电路芯片组装成超大规 模集成电路,也可将单功能或多功能单片集成电路芯片组装成多功能的部件甚至小的整机。 单片集成电路除向更高集成度发展外,也正在向着大功率、线性、高频电路和模拟电路方面发展。不过,在微波集成电 路、较大功率集成电路方面,薄膜、厚膜混合集成电路还具有优越性。在具体的选用上,往往将各类单片集成电路和厚膜、 薄膜集成工艺结合在一起,特别如精密电阻网络和阻容网络基片粘贴于由厚膜电阻和导带组装成的基片上,装成一个复杂的 完整的电路。必要时甚至可配接上个别超小型元件,组成部件或整机。 6、你知道 的集成电路设计的表达方式有哪几种? 集成电路设计的流程一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协 同设计。芯片硬件设计包括: 1功能设计阶段。 设计人员产品的应用场合,设定一些诸如功能、操作速度、接口规格、环 境温度及消耗功率等规格,以做为将来电路设计时的依据。更可进一步规划软 件模块及硬件模块该如何划分,哪些功能该整合于SOC 内,哪些功能可以设 计在电路板上。 2设计描述和行为级验证 能设计完成后,可以依据功能将SOC 划分为若干功能模块,并决定实现 这些功能将要使用的IP 核。此阶段将接影响了SOC 内部的架构及各模块间互 动的讯号,及未来产品的可靠性。 决定模块之后,可以用VHDL 或 Verilog 等硬件描述语言实现各模块的设 计。接着,利用VHDL 或 Verilog 的电路仿真器,对设计进行功能验证(function simulation ,或行为验证behavioral simulation) 。 注意,这种功能仿真没有考虑电路实际的延迟,但无法获得精确的结果。 3逻辑综合 确定设计描述正确后,可以使用逻辑综合工具(synthesizer )进行综合。 综合过程中,需要选择适当的逻辑器件库(logic cell library) ,作为合成逻辑 电路时的参考依据。 硬件语言设计描述文件的编写风格是决定综合工具执行效率的一个重要 因素。事实上,综合工具支持的HDL 语法均是有限的,一些过于抽象的语法 只适于做为系统评估时的仿真模型,而不能被综合工具接受。 逻辑综合得到门级网表。 4门级验证(Gate-Level Netlist Verification) 门级功能验证是寄存器传输级验证。主要的工作是要确认经综合后的电路 是否符合功能需求,该工作一般利用门电路级验证工具完成。 注意,此阶段仿真需要考虑门电路的延迟。 5布局和布线 布局指将设计好的功能模块合理地安排在芯片上,规划好它们的位置。布 线则指完成各模块之间互连的连线。 注意,各模块之间的连线通常比较长,因此,产生的延迟会严重影响SOC 的性能,尤其在0.25 微米制程以上,这种现象更为显著。 模拟集成电路设计的一般过程: 1.电路设计 依据电路功能完成电路的设计。 2.前仿真 电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真。 3.版图设计(Layout ) 依据所设计的电路画版图。一般使用Cadence 软件。 4.后仿真 对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设计版图。 5.后续处理 将版图文件生成GDSII 文件交予Foundry 流片。 7、描述一个 交通信号灯的设计。 8、我们将研发人员分为若干研究方向,对协议和算法理解(主要应用在网络通信、图象语音压缩方面)、电子系统方案的研 究、用 MCU 、DSP 编程实现电路功能、用ASIC 设计技术设计电路(包括MCU、DSP 本身)、电路功能模块设计(包括模 拟电路和数字电路) 、集成电路后端设计(主要是指综合及自动布局布线技术)、集成电路设计与工艺接口的研究。 你希望从事哪方面的研究?(可以选择多个方向。另外,已经从事过相关研发的人员可以详细描述你的研发经历)。 第二部分: 专业篇 (根据你选择的方向回答以下你认为相关的专业篇的问题。一般情况下你只需要回答五道题以上,但请尽可能多回答你所知 道的,以便我们了解你的知识结构及技术特点。) 1、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识? 2、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中, x 为 4 位二进制整数输入信号。y 为 二进制小数输出,要求保留两位小数。电源电压为35v 假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产 品的设计全程 。 3、简单描述一个单片机系统的主要组成模块,并说明各模块之间的数据流流向和控制流流向。简述单片机应用系统的设计 原则。 4、请用方框图描述一个你熟悉的实用数字信号处理系统,并做简要的分析;如果没有,也可以自己设计一个简单的数字信 号处理系统,并描述其功能及用途。 5、画出 8031 与 2716(2K*8ROM )的连线图,要求采用三-八译码器, 8031 的 P2.5,P2.4 和 P2.3 参加译码,基本地址范围为 3000H-3FFFH 。该 2716 有没有重叠地址?根据是什么?若有,则写出每片2716 的重叠地址范围。 6、用 8051 设计一个带一个8*16 键盘加驱动八个数码管(共阳)的原理图。 7、PCI 总线的含义是什么?PCI 总线的主要特点是什么? 8、请简要描述HUFFMAN编码的基 本原理及其基本的实现方法。 9、说出 OSI 七层网络协议中的四层(任意四层)。 由下至上为 1 至 7 层,分别为 : 应用层 (Application layer) 表示层 (Presentation layer) 会话层 (Session layer) 传输层 (Transport layer) 网络层 (Network layer) 数据链路层 (Data link layer) 物理层 (Physical layer) 10、中断的概念?简述中断的过程。 11、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。 12、要用一个开环脉冲调速系统来控制直流电动机的转速,程序由8051 完成。简单原理如下:由P3.4 输出脉冲的占空比来 控制转速,占空比越大,转速越快;而占空比由K7-K0 八个开关来设置,直接与P1 口相连(开关拨到下方时为“0“,拨到 上方时为 “1“,组成一个八位二进制数N) ,要求占空比为N/256。 下面程序用计数法来实现这一功能,请将空余部分添完整。 MOV P1 ,#0FFH LOOP1 :MOV R4 ,#0FFH - MOV R3 ,#00H LOOP2 :MOV A ,P1 - SUBB A ,R3 JNZ SKP1 - SKP1:MOV C ,70H MOV P3.4 ,C ACALL DELAY :此延时子程序略 - - AJMP LOOP1 13、用你熟悉的设计方式设计一个可预置初值的7 进制循环计数器,15 进制的呢? 14、请用 HDL 描述四位的全加法器、 5 分频电路。 15、简述 FPGA 等可编程逻辑器件设计流程。 16、同步电路和异步电路的区别是什么? 17、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述其优缺点。 18、描述 反馈电路的概念,列举他们的应用。 19、放大电路的 频率补偿 的目的是什么,有哪些方法? 20、画出 CMOS 电路的晶体管级电路图,实现Y=A.B+C(D+E) 21、请分析如下电路所实现的功能。 22、A) i nclude void testf(int*p) *p+=1; main() int *n,m2; n=m; m0=1; m1=8; testf(n); printf(“Data value is %d “,*n); - B) i nclude void testf(int*p) *p+=1; main() int *n,m2; n=m; m0=1; m1=8; testf( printf(Data value is %d“,*n); 下面的结果是程序A 还是程序 B 的? Data value is 8 那么另一段程序的结果是什么?2 23、用简单电路实现,当A 为输入时,输出B 波形为: A: B: 24、LC 正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。 25、锁相环有哪几部分组成? 26、人的话音频率一般为3003400HZ,若对其采样且使信号不失真,其最小的采样频率应为多大?若采用8KHZ 的采样频 率,并采用8bit 的 PCM 编码,则存储一秒钟的信号数据量有多大? 27、在 CMOS 电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是 N 管,为什么? 28、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。 29、数字滤波器的 分类和结构特点。 30、DAC 和 ADC 的实现各有哪些方法? 31、描述 CMOS 电路中闩锁效应产生的过程及最后的结果? 32、什么叫做OTP 片、掩膜片,两者的区别何在? 33、列举几种集成电路典型工艺。工艺上常提到0.25,0.18 指的是什么? 34、请描述一下 国内的工艺现状 。 35、请简述一下 设计后端的整个流程? 36、有否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元素? 37、半导体工艺中,掺杂有哪几种方式? 38、什么是 NMOS 、PMOS、CMOS ?什么是增强型、耗尽型?什么是PNP、NPN?他们有什么差别? 39、为什么一个标准的倒相器中P管的宽长比要比N 管的宽长比大? 40、硅栅 COMS 工艺中 N 阱中做的是 P 管还是 N 管, N 阱的阱电位的连接有什么要求? 汉王笔试 1、下面是一些基本的数字电路知识问题,请简要回答之。 a) 什么是 Setup 和 Holdup 时间? Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前, 数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T 时间到达芯片,这个T 就是建立时间 -Setup time。如 不满足 setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。 保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果holdtime 不够,数据同样不能被打入触 发器。 b) 什么是 竞争与冒险现象?怎样判断?如何消除? c) 请画出用 D 触发器实现2 倍分频的逻辑电路? d) 什么是 “线与 “逻辑,要实现它,在硬件特性上有什么具体要求? e) 什么是同步逻辑和异步逻辑? f) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、所存器/缓冲器)。 g) 你知道那些常用逻辑电平?TTL 与 COMS 电平可以直接互连吗? 2、 可编程逻辑器件在现代电子设计中越来越重要,请问: a) 你所知道的可编程逻辑器件有哪些? b) 试用 VHDL 或 VERILOG 、ABLE 描述 8 位 D 触发器逻辑 。 3、设想你将设计完成一个电子电路方案。请简述用EDA 软件(如PROTEL)进行设计(包括原理图和PCB 图)到调试出 样机的整个过程。在各环节应注意哪些问题? 飞利浦大唐笔试 1、用 逻辑们和 cmos 电路实现 ab+cd 2、用一个二选一mux 和一个 inv 实现异或 3、给了 reg 的 setup,hold 时间,求中间组合逻辑的delay 范围 。 4. 如何解决 亚稳态 5. 用 verilog/vhdl 写一个 fifo 控制器 6. 用 verilog/vd dl 检测 stream中的特定字符串 信威 dsp软件面试题 1)DSP 和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP 结构图 2)说说定点 DSP 和浮点 DSP 的定义(或者说出他们的区别) 3)说说你对循环寻址和位反序寻址的理解 4)请写出【 8,7】的二进制补码,和二进制偏置码。用Q15 表示出 0.5 和 0.5 扬智电子笔试 第一题:用mos 管搭出一个二输入与非门。 第二题:集成电路前段设计流程,写出相关的工具。 第三题:名词IRQ,BIOS,USB,VHDL,SDR 第四题: unix 命令 cp -r, rm,uname 第五题:用波形表示D 触发器的功能 第六题:写异步D 触发器的 verilog module 第七题: What is PC Chipset? 第八题:用传输门和倒向器搭一个边沿触发器 第九题:画状态机,接受1,2,5 分钱的卖报机,每份报纸5 分钱。 华为面试题 研发 (硬件 ) 全都是几本模电数电信号单片机题目 1.用与非门等设计全加法器 Cout=a input clk; input reset; input 7:0 d; output 7:0 q; reg 7:0 q; always (posedge clk or posedge reset) 高电平 if(reset) q = 0; else q = d; endmodule 63、用 D 触发器实现 2 倍分频的 Verilog 描述?(汉王笔试) module divide2( clk , clk_o, reset); input clk , reset; output clk_o; wire in; reg out ; always ( posedge clk or posedge reset) if ( reset) out = 0; else out = in; assign in = out; assign clk_o = out; endmodule 64、可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的 可编程 逻辑器 件有哪些 ? b) 试用 VHDL 或 VERILOG 、ABLE 描述 8 位 D 触发器逻辑。(汉王笔试) PAL,PLD, CPLD ,FPGA 。 module dff8(clk , reset, d, q); input clk; input reset; input d; output q; reg q; always (posedge clk or posedge reset) if(reset) q = 0; else q = d; endmodule 65、请用 HDL 描述四位的全加法器、5 分频电路。(仕兰微电子) 66、用 VERILOG 或 VHDL 写一段代码,实现10 进制计数器。(未知) 67、用 VERILOG 或 VHDL 写一段代码,实现消除一个glitch 。 (未知) 68、一个状态机的题目用verilog 实现(不过这个状态机画的实在比较差,很容易 误解 的) 。 (威盛 VIA 2003.11.06 上海笔试试题) 69、描述一个交通信号灯的设计。(仕兰微电子) 70、画状态机,接受1,2,5 分钱的卖报机,每份报纸5 分钱。(扬智电子笔试) 71、设计一个自动售货机系统,卖soda 水的,只能投进三种硬币,要正确的找回 钱 数。(1)画出 fsm(有限状态机) ; (2)用 verilog 编程,语法要符合 fpga 设计 的要求。(未知) 72、设计一个自动饮料售卖机,饮料10 分钱,硬币有5 分和 10 分两种,并考虑找零 : (1) 画出 fsm(有限状态机) ; (2)用 verilog 编程,语法要符合fpga 设计的要求;( 3)设计 工程中可使用的工具及设计大致过程。(未知) 73、画出可以检测10010 串的状态图 ,并 verilog 实现之 。 (威盛) 74、用 FSM 实现 101101 的序列检测模块 。 (南山之桥) a 为输入端, b 为输出端,如果a 连续输入为1101 则 b 输出为 1,否则为 0。 例如 a: 0001100110110100100110 b: 0000000000100100000000 请画出 state machine ;请用 RTL 描述其 state machine。 (未知) 75、用 verilog/vddl 检测 stream 中的特定字符串(分状态用状态机写)。 (飞利 浦大唐 笔试) 76、用 verilog/vhdl 写一个 fifo 控制器 (包括空,满,半满信号)。 (飞利浦大 唐笔试) 77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx, 其中, x 为 4 位二进制整数输入信号。y 为二进制小数输出,要求保留两位小数。电源电压 为 35v 假 设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。 (仕兰微 电子) 78、sram,falsh memory ,及 dram 的区别? (新太硬件面试) 79、给出单管DRAM 的原理图 (西电版数字电子技术基础作者杨颂华、冯毛官 205 页图 9 14b),问你有什么办法提高refresh time,总共有 5 个问题,记不起来了。 (降 低温 度,增大电容存储容量) (Infineon 笔试) 80、Please draw schematic of a common SRAM cell with 6 transistors,point out which nodes can store data and which node is word line control? (威盛 笔试题 circuit design-beijing-03.11.09 ) 81、名词 :sram,ssram,sdram 名词 IRQ,BIOS,USB,VHDL,SDR IRQ: Interrupt ReQuest BIOS: Basic Input Output System USB: Universal Serial Bus VHDL: VHIC Hardware Description Language SDR: Single Data Rate 压控振荡器的英文缩写(VCO) 。 动态随机存储器的英文缩写(DRAM) 。 名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline、 IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器 ) RAM ( 动态随机存储器),FIR IIR DFT(离散 傅立叶变换 )或者是中文的,比如:a.量化误差b.直方图c.白平衡 _ 各大公司电子类招聘题目精选 发表于2007-1-13 18:00:35 IC 设计基础(流程、工艺、版图、器件) 1、我们公司的产品是集成电路,请描述一下你对集成电路 的认识,列举一些与集 成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU 、RISC、CISC、DSP、 ASIC 、FPGA 等的概念)。 (仕兰微面试题目) 2、FPGA 和 ASIC 的概念,他们的区别。 (未知) 答案: FPGA 是可编程 ASIC 。 ASIC: 专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。 根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成 电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短 、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验 等优点 3、什么叫做OTP 片、掩膜片,两者的区别何在?(仕兰微面试题目) 4、你知道的 集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述 FPGA 等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC 设计前端到后端的流程和eda 工具 。 (未知) 8、从 RTL synthesis 到 tape out 之间的设计flow,并列出其中各步使用的tool.( 未知) 9、Asic 的 design flow 。 (威盛 VIA 2003.11.06 上海笔试试题) 10、写出 asic 前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。 (扬智电子笔试) 先介绍下 IC 开发流程: 1.)代码输入( design input) 用 vhdl 或者是 verilog 语言来完成器件的功能描述,生成hdl 代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入 : composer(cadence); viewlogic (viewdraw) 2.)电路仿真( circuit simulation) 将 vhd 代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: *ANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合( synthesis tools) 逻辑综合工具可以将设计思想vhd 代码转化成对应一定工艺手段的门级电路;将初 级仿真中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路 仿真阶段进行再仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些 基本元素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目) 15、列举几种集成电路典型工艺。工艺上常提到0.25,0.18 指的是什么?(仕兰微 面试题目) 16、请描述一下国内的工艺现状。(仕兰微面试题目) 17、半导体工艺中,掺杂有哪几种方式?(仕兰微面试题目) 18、描述 CMOS 电路中闩锁效应产生的过程及最后的结果?(仕兰微面试题目) 19、解释 latch-up 现象和 Antenna effect 和其预防措施 .(未知) 20、什么叫 Latchup?(科广试题) 21、什么叫窄沟效应? (科广试题) 22、什么是 NMOS 、PMOS、CMOS ?什么是增强型、耗尽型?什么是PNP、NPN?他们 有什么差 别?(仕兰微面试题目) 23、硅栅 COMS 工艺中 N 阱中做的是 P 管还是 N 管, N 阱的阱电位的连接有什么要求? (仕兰微 面试题目) 24、画出 CMOS 晶体管的 CROSS-OVER 图(应该是纵剖面图) ,给出所有可能的传输 特性和转 移特性。(Infineon 笔试试题) 25、以 interver 为例 ,写出 N 阱 CMOS 的 process流程 ,并画出剖面图。 (科广试题) 26、Please explain how we describe the resistance in semiconductor. Compare the resistance of a metal,poly and diffusion in tranditional CMOS process.(威 盛笔试题 circuit design-beijing-03.11.09 ) 27、说明 mos 一半工作在什么区。 (凹凸的题目和面试) 28、画 p-bulk 的 nmos 截面图。(凹凸的题目和面试) 29、写 schematic note(?) ,越多越好。(凹凸的题目和面试) 30、寄生效应在ic 设计中怎样加以克服和利用。(未知) 31、太底层的MOS 管物理特性感觉一般不大会作为笔试面试题,因为全是微电子物 理,公 式推导太罗索,除非面试出题的是个老学究。IC 设计的话需要熟悉的软件: Cadence, Synopsys, Avant,UNIX 当然也要大概会操作。 32、unix 命令 cp -r, rm,uname。 (扬智电子笔试) _ _ 单片机、 MCU、计算机原理 1、简单描述一个单片机系统的主要组成模块,并说明各模块之间的数据流流向和 控制流 流向。简述单片机应用系统的设计原则。(仕兰微面试题目) 2、画出 8031 与 2716(2K*8ROM )的连线图,要求采用三-八译码器, 8031 的 P2.5,P2.4 和 P2.3 参加译码,基本地址范围为3000H-3FFFH 。该 2716 有没有重叠地址?根据是什 么?若 有,则写出每片2716 的重叠地址范围。 (仕兰微面试题目) 3、用 8051 设计一个带一个8*16 键盘加驱动八个数码管(共阳)的原理图。(仕兰 微面试 题目) 4、PCI 总线的含义是什么?PCI 总线的主要特点是什么?(仕兰微面试题目) 5、中断的概念?简述中断的过程。(仕兰微面试题目) 6、如单片机中断几个/类型,编中断程序注意什么问题;(未知) 7、要用一个开环脉冲调速系统来控制直流电动机的转速,程序由8051 完成。简单 原理如 下:由 P3.4 输出脉冲的占空比来控制转速,占空比越大,转速越快;而占空比由 K7-K0 八 个开关来设置,直接与P1 口相连(开关拨到下方时为“0“,拨到上方时为“1“,组 成一个八 位二进制数N) ,要求占空比为N/256。(仕兰微面试题目) 下面程序用计数法来实现这一功能,请将空余部分添完整。 MOV P1 ,#0FFH LOOP1 :MOV R4 ,#0FFH - MOV R3 ,#00H LOOP2 :MOV A ,P1 - SUBB A ,R3 JNZ SKP1 - SKP1:MOV C ,70H MOV P3.4 ,C ACALL DELAY :此延时子程序略 - - AJMP LOOP1 8、单片机上电后没有运转,首先要检查什么?(东信笔试题) 9、What is PC Chipset? (扬智电子笔试) 芯片组( Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,通 常分为 北桥芯片和南桥芯片。北桥芯片提供对CPU 的类型和主频、内存的类型和最大容量 、 ISA/PCI/AGP 插槽、 ECC 纠错等支持。南桥芯片则提供对KBC (键盘控制器)、RTC (实时时 钟控制器)、USB(通用串行总线) 、Ultra DMA/33(66)EIDE数据传输方式和ACPI (高级 能源管理)等的支持。其中北桥芯片起着主导性的作用,也称为主桥(Host Bridge) 。 除了最通用的南北桥结构外,目前芯片组正向更高级的加速集线架构发展, Intel 的 8xx 系列芯片组就是这类芯片组的代表,它将一些子系统如IDE 接口、音效、 MODEM 和 USB 直 接接入主芯片,能够提供比PCI 总线宽一倍的带宽,达到了266MB/s。 10、如果简历上还说做过cpu 之类,就会问到诸如cpu 如何工作,流水线之类的 问题。 (未知) 11、计算机的基本组成部分及其各自的作用。(东信笔试题) 12、请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口 、控制接 口、所存器 /缓冲器)。 (汉王笔试) 13、cache的主要部分什么的。 (威盛 VIA 2003.11.06 上海笔试试题) 14、同步异步传输的差异(未知) 15、串行通信与同步通信异同,特点 ,比较。 (华为面试题) 16、RS232c 高电平脉冲对应的TTL 逻辑是 ?(负逻辑 ?) (华为面试题) _ _ 信号与系统 1、的话音频率一般为3003400HZ ,若对其采样且使信号不失真,其最小的采样频 率应为 多大?若采用8KHZ 的采样频率,并采用8bit 的 PCM 编码,则存储一秒钟的信号数据 量有多 大?(仕兰微面试题目) 2、什么耐奎斯特定律,怎么由模拟信号转为数字信号。(华为面试题) 3、如果模拟信号的带宽为5khz,要用 8K 的采样率,怎么办?(lucent) 两路? 4、信号与系统 :在时域与频域关系。 (华为面试题) 5、给出时域信号,求其直流分量。(未知) 6、给出一时域信号,要求(1)写出频率分量, (2)写出其傅立叶变换级数;( 3)当波 形经过低通滤波器滤掉高次谐波而只保留一次谐波时,画出滤波后的输出波形。 (未知) 7、sketch 连续正弦信号和连续矩形波(都有图 )的傅立叶变换。(Infineon 笔试 试题) 8、拉氏变换和傅立叶变换的表达式及联系。(新太硬件面题) _ _

    注意事项

    本文(微电子笔试(笔试和面试题)要点.pdf)为本站会员(tbuqq)主动上传,三一文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    经营许可证编号:宁ICP备18001539号-1

    三一文库
    收起
    展开